https://ackspace.nl/w/api.php?action=feedcontributions&user=Da+Syntax&feedformat=atomHackerspace ACKspace - User contributions [en]2024-03-28T19:33:52ZUser contributionsMediaWiki 1.31.7https://ackspace.nl/w/index.php?title=New_Years_Food&diff=9713New Years Food2024-02-24T11:30:07Z<p>Da Syntax: </p>
<hr />
<div>{{Event<br />
|Featured=Yes<br />
|State=Planned<br />
|Start date=2024/02/24<br />
|Start time=19:30<br />
|End date=2024/02/24<br />
|End time=22:00<br />
|Contact=Wolkje<br />
|Kind=Party<br />
|Location=Wereldrestaurant Kodoo Sittard<br />
|URL=https://kodoosittard.nl/<br />
|Fee=€41,50<br />
|Short description=omnomnom<br />
}}<br />
== current event ==<br />
=== 2024-02-24 === <br />
De datum is geprikt: 24 februari gaan we weer lekker wokken!<br />
<br />
We zullen vanaf '''19:30 uur''' reserveren, aangezien aangeraden wordt om 10 minuten van tevoren aanwezig te zijn vertrekken we '''uiterlijk 18:45 uur''' vanuit de space. Aangezien het een 2,5-uursarrangement betreft, bedragen de kosten € 41,50 per persoon incl. frisdrank, koffie en thee. Alcoholische dranken zijn verkrijgbaar met munten die te koop zijn bij de receptie à €1,75 per stuk. Bedrag p.p. kan vooraf overgemaakt worden aan de space bankrekeningnummer. <br />
<br />
Tabel is voor het gemak toegevoegd, zodat iedereen kan aangeven of men aanwezig zal zijn en (belangrijker) of men een auto heeft. <br />
De reservering telt ## personen in totaal.<br />
<br />
Gastenlijst (14): Computer1Up, Elzilla+1, Procyon, Prodigity, PsychiC, Roelke, Stuiterveer, Syntax, Tesla, Topsy818, Vicarious, Wolkje, Xopr<br />
<br />
<br />
{| cellspacing="1" cellpadding="1" border="1" align="center" summary="New Years Noms availability" class="wikitable sortable"<br />
|-<br />
! scope="col" | Wie <br />
! scope="col" | Wok<br />
! scope="col" | Kan rijden<br />
! scope="col" | Heeft auto<br />
|-<br />
<br />
! scope="row" | [[User:Wolkje|Wolkje]] <br />
| Ja<br />
| Ja<br />
| Ja (3 plekken vrij)<br />
|-<br />
! scope="row" | [[User:Computer1up|computer1up]] <br />
| Ja<br />
| Ja<br />
| Ja (3 plekken vrij)<br />
|-<br />
! scope="row" | [[User:Procyon|Procyon]] <br />
| Nee<br />
| Nee<br />
| Nee (rijdt mee met computer1up)<br />
|-<br />
! scope="row" | [[User:Elzilla|Elzilla +1]] <br />
| Ja<br />
| Ja<br />
| Ja (2 plekken vrij)<br />
|-<br />
! scope="row" | [[User:Da Syntax|Da Syntax]] <br />
| Ja<br />
| Als het moet<br />
| Ja (3 plekken vrij)<br />
|-<br />
|}<br />
<br />
<noinclude><br />
<br />
== previous events ==<br />
=== 2023-05-20 === <br />
De datum is geprikt, en wel op 20 mei! We hebben vanaf '''19:30 uur''' gereserveerd, aangezien aangeraden wordt om 10 minuten van tevoren aanwezig te zijn vertrekken we '''uiterlijk 18:30 uur''' vanuit de space. Aangezien het een 2,5-uursarrangement betreft, bedragen de kosten € 39,50 per persoon incl. frisdrank, koffie en thee. Alcoholische dranken zijn verkrijgbaar met munten die te koop zijn bij de receptie à €1,75 per stuk. Bedrag p.p. kan vooraf overgemaakt worden aan Wolkje. <br />
<br />
Tabel is voor het gemak toegevoegd, zodat iedereen kan aangeven of men aanwezig zal zijn en (belangrijker) of men een auto heeft. De reservering telt 21 personen in totaal.<br />
<br />
Gastenlijst: Elzilla+1, Prodigity, xopr, Roelke, Jetse, Computer1up, Wolkje, WireXbox.<br />
<br />
=== 2019-01-19 === <br />
De datum is geprikt, en wel op 19 januari! We hebben vanaf '''18:45 uur''' gereserveerd, aangezien aangeraden wordt om 10 minuten van tevoren aanwezig te zijn vertrekken we '''uiterlijk 18:00 uur''' vanuit de space. Aangezien het een drie-uursarrangement betreft, bedragen de kosten € 30,95 per persoon incl. frisdrank, koffie en thee. Alcoholische dranken zijn verkrijgbaar met munten die te koop zijn bij de receptie à €1,- per stuk. Bedrag p.p. kan vooraf overgemaakt worden aan Wolkje. <br />
<br />
Tabel is voor het gemak toegevoegd, zodat iedereen kan aangeven of men aanwezig zal zijn en (belangrijker) of men een auto heeft. De reservering telt 21 personen in totaal.<br />
<br />
Gastenlijst: Vicarious, Chaos, PsychiC, CoolePascal, Prodigity, xopr+1, Roelke, Jetse, pmwq, Computer1up, Stuiterveer, C-Blast+1, Tesla, Adnub, Thunder1410, Wolkje, Da Syntax+1, TheOnlyJoey.<br />
<br />
{| cellspacing="1" cellpadding="1" border="1" align="center" summary="New Years Noms availability" class="wikitable sortable"<br />
|-<br />
! scope="col" | Wie <br />
! scope="col" | Wok<br />
! scope="col" | Kan rijden<br />
! scope="col" | Heeft auto<br />
|-<br />
<br />
! scope="row" | [[User:Wolkje|Wolkje]] <br />
| Ja<br />
| Ja<br />
| Ja (3 plekken vrij)<br />
|-<br />
<br />
! scope="row" | [[User:Xopr|xopr]] + 1 <br />
| Ja + 1<br />
| Ja<br />
| Ja (1 tot 3 plekken vrij)<br />
|-<br />
<br />
! scope="row" | [[User:Computer1up|Computer1up]] <br />
| Ja<br />
| Ja<br />
| Ja (3 plekken, 2 voor menschen met korte beenschen)<br />
|-<br />
<br />
!scope=“row” l | [[User:C-Blast|C-Blast]] +1<br />
| Ja<br />
| Ja<br />
| Ja (indien nodig evt. 2 auto’s voor totaal 6 - 8 extra pers.)<br />
|-<br />
<br />
!scope=“row” l | [[User:PsychiC|PsychiC]]<br />
| Ja<br />
| Ja<br />
| Ja (3 plekken , goede muziek kost extra)<br />
|-<br />
<br />
!scope=“row” l | [[User:Vicarious|Vicarious]] + Chaos<br />
| Ja<br />
| Ja<br />
| Ja (2 plekken, we komen later ivm werk tot 19:00 uur)<br />
|-<br />
<br />
!scope=“row” l | [[User:Stuiterveer|Stuiterveer]]<br />
| Ja<br />
| Nee<br />
| Nee<br />
|-<br />
<br />
|}<br />
<br />
=== 2018-01-07: <span style='font-family: "Comic Sans MS", "Comic Sans", cursive;'>NieuwTinusJaarsWok</span> editie!===<br />
Bij de [[pizza-meet|kwartaalvergadering]] in oktober werd gevraagd of we wilden wokken of [[barbecue]]<nowiki />en en het antwoord was ''ja'', dus bij deze is er een avondje wok geplanned!<br />
<br />
De datum is geprikt, en wel na de [[pizza-meet|<s>pizza-</s>meet]] van 7 januari! We hebben vanaf 20:30 gereserveerd, aangezien aangeraden wordt om 10 minuten van tevoren aanwezig te zijn vertrekken we '''uiterlijk 20:00''' vanuit de space.<br />
<br />
Tabel is voor het gemak toegevoegd, zodat iedereen kan aangeven of men aanwezig zal zijn en (belangrijker) of men een auto heeft.<br />
<br />
{| cellspacing="1" cellpadding="1" border="1" align="center" summary="New Years Noms availability" class="wikitable sortable"<br />
|-<br />
! scope="col" | Wie <br />
! scope="col" | Wok<br />
! scope="col" | Kan rijden<br />
! scope="col" | Heeft auto<br />
|-<br />
<br />
! scope="row" | [[User:Stuiterveer|Stuiterveer]] <br />
| Ja<br />
| Nee<br />
| Nee<br />
|-<br />
<br />
! scope="row" | [[User:Computer1up|Computer1up]]<br />
| Ja<br />
| Nee<br />
| Nee<br />
|-<br />
|}<br />
<br />
=== 2017-03-11 ===<br />
Het idee is om rond <s>17:00</s> 18:00 a 18:30 te verzamelen bij de space en vanuit daar samen door te gaan naar de Parkstad Plaza wok bij het Roda stadion. De reservering bij de wok staat om 19:30. De onderstaande lijst is de definitieve lijst die aangehouden wordt bij het reserveren. Laat ook even weten of je wilt rijden van de space naar de wok en terug, of dat iemand anders eventueel in jouw auto mag rijden.<br />
<br />
<br />
{| cellspacing="1" cellpadding="1" border="1" align="center" summary="New Years Noms availability" class="wikitable sortable"<br />
|-<br />
! scope="col" | Wie <br />
! scope="col" | Wok<br />
! scope="col" | LAN<br />
! scope="col" | Kan rijden<br />
! scope="col" | Heeft auto<br />
|-<br />
! scope="row" | [[User:Da Syntax|Da Syntax]] <br />
| Ja <br />
| Ja <br />
| Als het moet <br />
| Ja<br />
|-<br />
! scope="row" | [[User:Vicarious|Vicarious]] <br />
| Nee <br />
| Nee<br />
| nvt<br />
| nvt<br />
|-<br />
! scope="row" | [[User:pmwq|pmwq]]<br />
| Ja<br />
| Ja<br />
| Nee<br />
| Nee<br />
|-<br />
! scope="row" | [[User:Xopr|xopr]] + 1<br />
| Ja + 1<br />
| Niet zeker gezien tijdstip<br />
| Ja<br />
| Ja (2 a 3 plekken vrij)<br />
|-<br />
! scope="row" | [[User:Computer1up|Computer1up]] <br />
| Ja <br />
| Ja, afhankelijk van ouders<br />
| Nee <br />
| Nee<br />
|-<br />
<br />
! scope="row" | [[User:Wirexbox|Wirexbox]] <br />
| Ja <br />
| Ja<br />
| Nee <br />
| Nee<br />
|-<br />
<br />
! scope="row" | [[User:Wolkje|Wolkje]]<br />
| Ja<br />
| Onbekend<br />
| Ja<br />
| Ja<br />
|-<br />
<br />
! scope="row" | [[User:Prodigity|Prodigity]]<br />
| Ja<br />
| Ja<br />
| Ja<br />
| Nee... ish<br />
|-<br />
<br />
! scope="row" | Swiemel<br />
| Ja + 1<br />
| Onbekend<br />
| Ja<br />
| Ja<br />
|-<br />
<br />
! scope="row" | [[User:Roelke|Roelke]]<br />
| Ja<br />
| Maybe<br />
| Als het moet<br />
| Ja<br />
|-<br />
<br />
!scope="row" | [[User:Stuiterveer|Stuiterveer]]<br />
| Ja + 1<br />
| Ja<br />
| Nee<br />
| Nee<br />
|-<br />
<br />
!scope="row" | [[User:PsychiC|PsychiC]]<br />
| Ja<br />
| Nee<br />
| Ja<br />
| Ja<br />
|-<br />
<br />
|}<br />
<br />
==== Wok ====<br />
We gaan wokken bij Parkstad Plaza. Dit kost €28.95 voor 3 uur wokken. Bij dat bedrag zit fris, koffie en thee inbegrepen. Alcoholische dranken zijn verkrijgbaar met losse muntjes van €1 per stuk. <br />
<br />
==== LAN ====<br />
Er lijkt voldoende animo voor de LAN party, hier zal verder niet direct wat vooruit gepland worden. Neem gewoon lekker je pc/laptop mee, hang m aan het netwerk en speel een spelletje ;).<br />
<br />
=== 2016-02-13 ===<br />
Het idee is om rond 17:00 te verzamelen bij de space en vanuit daar samen door te gaan naar de Parkstad Plaza wok bij het Roda stadion. De onderstaande lijst is de definitieve lijst die aangehouden wordt bij het reserveren. Laat ook even weten of je wilt rijden van de space naar de wok en terug, of dat iemand anders eventueel in jouw auto mag rijden.<br />
<br />
{| cellspacing="1" cellpadding="1" border="1" align="center" summary="New Years Noms availability" class="wikitable sortable"<br />
|-<br />
! scope="col" | Wie <br />
! scope="col" | Wok<br />
! scope="col" | LAN<br />
! scope="col" | wil rijden<br />
! scope="col" | heeft auto<br />
|-<br />
! scope="row" | [[User:Prodigity|Prodigity]] <br />
| Ja <br />
| Ja <br />
| ? <br />
| ?<br />
|-<br />
! scope="row" | [[User:Computer1up|Computer1up]] <br />
| Ja <br />
| Ja (tot 00:00)<br />
| Willen wel... (als ik een rijbewijs had)<br />
| Nope<br />
|-<br />
! scope="row" | [[User:Da Syntax|Da Syntax]] <br />
| Ja <br />
| Ja <br />
| Als het moet <br />
| Ja<br />
|-<br />
! scope = "row" | [[user:Stuiterveer|stuiterveer]]<br />
| Ja<br />
| Ja<br />
| Ja, kunnen is een tweede<br />
| Nee<br />
|-<br />
! scope="row" | [[User:Wolkje|Wolkje]] <br />
| Ja <br />
| Misschien <br />
| Ja, plaats voor 4 personen<br />
| Ja<br />
|-<br />
! scope="row" | [[User:Vicarious|Vicarious]] <br />
| Nee <br />
| Misschien <br />
| Nee<br />
| Ja<br />
|-<br />
! scope="row" | [[User:Xopr|xopr]]<br />
| Ja <br />
| Ja (hardware dependant)<br />
| Als het moet<br />
| Nee<br />
|}<br />
<br />
==== wok ====<br />
We gaan wokken bij Parkstad Plaza. Dit kost €27.95 voor 3 uur wokken. Bij dat bedrag zit fris, koffie en thee inbegrepen. Alcoholische dranken zijn verkrijgbaar met losse muntjes van €1 per stuk. <br />
<br />
==== LAN ====<br />
Games: (voeg hier spellen aan toe die je wilt spelen waar we een selectie uit zullen maken)<br />
* Age of Empires 2<br />
* Unreal Tournament 2004<br />
* Call of Duty<br />
* Quake III - Urban Terror mod<br />
* Open Arena (Computer1up)<br />
* Track Mania Nations Forever (Computer1up)<br />
* Flatout 2 (Da Syntax)<br />
* openTTD (Da Syntax)<br />
* [http://icculus.org/twilight/darkplaces/ Quake (in coop)]<br />
* [http://tweakers.net/acties/tweakbattle/download Tweak battle]<br />
* [https://www.teeworlds.com/ Teeworlds]<br />
* [http://supertuxkart.sourceforge.net/ SuperTuxCart]<br />
* Counterstrike Source<br />
* Jazz Jackrabbit 2<br />
<div style="position:relative"><span style="position:absolute;font-size:400%;font-weight:bold;transform:rotateZ(-30deg);border:0.1em solid;color:red;top:-4em;pointer-events:none">GREAT SUCCESS</span></div><br />
===== notities =====<br />
Nieuwe [[switches]] zijn besteld en [[User:Xopr|xopr]] neemt voor de zekerheid een 16 poorts + 8 poorts Gbit switches mee<br />
<br />
'Benodigdheden' staan op de NAS (\\NASI\ACKstorage\WokLAN party)<br />
<br />
=== 2015-01-24 ===<br />
Laten we in het nieuwe jaar weer eens gezellig gaan wokken met iedereen als nieuwjaarsborrel. Mijn suggestie is om dit op 24 januari te gaan doen in de wok bij het Roda JC Stadion. <br />
<br />
Graag voor 20 jan aanmelden zodat ik een reservering kan plaatsen bij de Wok Geef achter je eigen naam ook aan hoe lang je wilt eten, dan kan ik hier lekening mee houden bij het reserveren <br />
<br />
Wil je een andere datum, geeft dit dan aan achter je eigen naam. <br />
<br />
*[[User:Eagle00789|Eagle00789]] 2/3 uur maakt niet uit. <br />
*[[User:Xopr|Xopr]]: zo lang mogelijk <br />
*[[User:Prodigity|Prodigity]]: Voor 3 euro verschil.. muh ook zo lang mogelijk&nbsp;:) <br />
*[[User:Vicarious|Vicarious]] <br />
*[[User:Coolepascal|CoolePascal]] Taxi Vicarious <br />
*[[User:Da Syntax|Da Syntax]] <br />
*[[User:PsychiC|PsychiC]] langer = beter, gewoon relaxen daaro&nbsp;:) <br />
*[[User:Roelke|Roelke]] <br />
*[[User:TheOnlyJoey|TheOnlyJoey]] Ik neem +1 mee, en idd langer is beter, wel vervoer nodig vanaf ackspace plx. kthxbye!!11<br />
*[[User:AmazingMike|AmazingMike]]<br />
<br />
<br />
=== 2012-01-12 ===<br />
Omdat het wokken na de [[Exhibition#Open_Door_Day_31-3-2012|open dag]] naar mijn idee wel een succes was leek het me een leuk idee om dit nog eens te herhalen in de vorm van een nieuwjaars etentje.<br />
<br />
Als iedereen die mee wil doen hier even laat weten welke weekenden in januari hij beschikbaar is. En of ie wil wokken of wat anders. Dan kunnen we daarna doorgaan met plannen.<br />
* [[User:Da Syntax|Da Syntax]] <br />
* [[User:Eagle00789|Eagle00789]] <br />
* [[User:Prodigity|Prodigity]] <br />
* [[User:Coolepascal|Coolepascal]] <br />
* [[User:PsychiC|PsychiC]] <br />
* [[User:Xopr|xopr]] <br />
<br />
== extra info ==<br />
=== bus ===<br />
Kijk voor de actuele dienstregeling op [https://9292.nl/reisadvies/station-heerlen/kerkrade_stadion-roda-jc-kerkrade/ 9292ov] of de [https://www.arriva.nl/limburg/reisinformatie/dienstregeling.htm Arriva dienstregeling].<br />
Verbindingen die langs Heerlen CS en het station komen, zijn: 20, 21, 24, 25, 26, 44 en 47.<br />
<br />
Vanaf busstation Heerlen (♿)(♿)(♿)(♿)(♿)(♿)<br />
* '''lijn 20''' (Schinveld - Kerkrade):<br />
*: busstation Heerlen, perron C, '''9 tussenhaltes''', uitstappen op Kerkrade Parkstad stadion perron B.<br />
*: terug op perron A, uitstappen op perron B<br />
* '''lijn 21''' (Hoensbroek - Aachen):<br />
*: CBS (eventueel), busstation Heerlen, perron F, '''15 tussenhaltes''', uitstappen op Kerkrade Parkstad stadion perron A.<br />
*: terug op perron B, uitstappen op perron B<br />
* '''lijn 24''' (Hoensbroek - Kerkrade):<br />
*: CBS (eventueel), busstation Heerlen, perron F, '''18 tussenhaltes''', uitstappen op Kerkrade Parkstad stadion perron A.<br />
*: terug op perron B, uitstappen op perron B<br />
* '''lijn 25''' (Heerlen - Landgraaf - Heerlen):<br />
*: busstation Heerlen, perron A, '''22 tussenhaltes''', uitstappen op Kerkrade Parkstad stadion perron B.<br />
*: terug via zelfde perrons, '''11 tussenhaltes'''<br />
* '''lijn 26''' (Heerlen - Landgraaf - Heerlen):<br />
*: busstation Heerlen, perron C, '''11 tussenhaltes''', uitstappen op Kerkrade Parkstad stadion perron B.<br />
*: terug via zelfde perrons, '''22 tussenhaltes'''<br />
* '''lijn 44''' (Heerlen - Achen, limburgliner):<br />
*: busstation Heerlen, perron E, '''15 tussenhaltes''', uitstappen op Kerkrade Parkstad stadion perron B.<br />
*: terug op perron A, uitstappen op perron E<br />
<br />
[[Category:Food]][[Category:Network]]<br />
</noinclude></div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Network_information&diff=7310Network information2017-03-12T15:29:10Z<p>Da Syntax: Updated hackswitch info</p>
<hr />
<div>== synopsis ==<br />
I've ([[User:Xopr|xopr]]) created this network information page mainly because no-one knows the current setup by heart, and I'm preparing for [[Congress everywhere]] without breaking people's [https://duckduckgo.com/?q=kittens&ia=images precious downloads]..<br />
<br />
== current setup ==<br />
<br />
=== trunks ===<br />
# [[#hACKswitch|hACKswitch]] - [[#router|router]]<br />
# hACKspace - slACKspace (unused)<br />
# [[#hACKswitch|hACKswitch]] - [[#slACKswitch|slACKswitch]]<br />
<br />
=== VLANs ===<br />
:2. LAN<br />
:10. DMZ<br />
:11. VoIP<br />
<br />
=== connections ===<br />
==== slACKswitch ====<br />
<br />
{| class="wikitable switchtable"<br />
| VLAN<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
|-<br />
| Port<br />
| style="background-color:lightblue" | '''1''' <br />
| style="background-color:lightblue" | '''3'''<br />
| style="background-color:lightblue" | '''5'''<br />
| style="background-color:lightblue" | '''7'''<br />
| style="background-color:lightblue" | '''9'''<br />
| style="background-color:lightblue" | '''11'''<br />
| style="background-color:lightblue" | '''13'''<br />
| style="background-color:lightblue" | '''15'''<br />
<br />
|-<br />
| Port<br />
| style="background-color:lightblue" | '''2''' <br />
| style="background-color:lightblue" | '''4''' <br />
| style="background-color:lightblue" | '''6''' <br />
| style="background-color:lightblue" | '''8''' <br />
| style="background-color:lightblue" | '''10'''<br />
| style="background-color:lightblue" | '''12''' <br />
| style="background-color:lightblue" | '''14''' <br />
| style="background-color:lightgreen" | '''16''': [[#trunks|Trunk 3]]<br />
|-<br />
| VLAN<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightgreen" | trunk<br />
|}<br />
<br />
==== hACKswitch ====<br />
{| class="wikitable switchtable"<br />
| VLAN<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | 2u<br />
| style="background-color:yellow" | 2u<br />
| style="background-color:yellow" | 2u<br />
| style="background-color:lightgreen" | trunk<br />
| style="background-color:lightgreen" | trunk<br />
|-<br />
| Port<br />
| style="background-color:lightblue" | '''1''' <br />
| style="background-color:lightblue" | '''3'''<br />
| style="background-color:lightblue" | '''5'''<br />
| style="background-color:lightblue" | '''7'''<br />
| style="background-color:lightblue" | '''9''' <br />
| style="background-color:lightblue" | '''11'''<br />
| style="background-color:lightblue" | '''13'''<br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | '''14''': Printer<br />
| style="background-color:yellow" | '''17''': [[Telephone_system:Analog_Telephone_Adapter#Linksys_PAP2T|VoIP]]<br />
| style="background-color:yellow" | '''19''': [[Thomson_ST2030|VoIP]]<br />
| style="background-color:lightgreen" | '''21''': [[#trunks|stack]]<br />
| style="background-color:lightgreen" | '''23''': [[#trunks|Trunk 3]]<br />
|-<br />
| Port<br />
| style="background-color:lightblue" | '''2''' <br />
| style="background-color:lightblue" | '''4''' <br />
| style="background-color:lightblue" | '''6''' <br />
| style="background-color:lightblue" | '''8''' <br />
| style="background-color:lightblue" | '''10''' <br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | '''12''': [[ACKade]]<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | '''14''': Solder<br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | '''16''': [[3D_printer|3D PC]]<br />
| style="background-color:orange" | '''18''': [[DMZ]]<br />
| style="background-color:orange" | '''20''': [[Spaceview|Cam]]<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightgreen 20px,lightgreen 40px)" | '''22''': [[VM_server|Srv T]]<br />
| style="background-color:lightgreen" | '''24''': [[#trunks|Trunk 1]]<br />
|-<br />
| VLAN<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | 2u<br />
| style="background-color:orange" | 10u<br />
| style="background-color:orange" | 10u<br />
| style="background:repeating-linear-gradient(-45deg,lightgreen,lightgreen 20px,lightgray 20px,lightgray 40px)" | trunk<br />
| style="background-color:lightgreen" | trunk<br />
|}<br />
<br />
==== router ====<br />
<br />
{| class="wikitable switchtable"<br />
| VLAN<br />
| style="background-color:red" | 'WAN'<br />
|<br />
| style="background-color:lightgreen" | trunk<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
|-<br />
| Port<br />
| style="background-color:red" | '''WAN''' <br />
|<br />
| style="background-color:lightgreen" | '''1''': [[#trunks|Trunk 1]]<br />
| style="background-color:lightblue" | '''2'''<br />
| style="background-color:lightblue" | '''3'''<br />
| style="background-color:lightblue" | '''4'''<br />
|}<br />
<br />
==== Legend ====<br />
{|<br />
|- style="background-color:red;color:white;border:none"<br />
| WAN / unsecured<br />
|- style="background-color:orange;color:white"<br />
| DMZ / VPN<br />
|- style="background-color:yellow"<br />
| VoIP / telephony<br />
|- style="background-color:lightgreen"<br />
| trunk / backbone<br />
|- style="background-color:lightblue"<br />
| LAN<br />
|- style="background-color:purple;color:white"<br />
| test / special<br />
|- style="background-color:lightgray"<br />
| servers / core infra<br />
|- style="background-color:black;color:white"<br />
| wireless / guest<br />
|}<br />
<br />
== notes ==<br />
* [[user:Da_Syntax|Da Syntax]] and [[User:Xopr|xopr]] redid ''all'' the cabling on February 13th. All static cables are labeled on both sides, tucked away thoroughly, trimmed, and plugged in documented ports. Please keep the label on (and updated) at all times. You can find the label-tywraps in the network-connector box in the [[stACKspace]].<br />
*: Want to connect something? No problem; just pick any free port (except port 10, that won't work).<br />
*: Want a permanent connection? Should be no problem; make sure [[user:Da_Syntax|Da Syntax]] or [[User:Xopr|xopr]] is informed (via the {{discuss}}, for example, so we can reach consensus).<br />
* Currently, the [[slACKspace]] has three available connections: one at the switch and two above the white couch (labeled 'couch' and 'potatoe')<br />
<br />
== also see ==<br />
* [[switches]]<br />
<br />
[[Category:Information]][[Category:Network]]</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Network_information&diff=7309Network information2017-03-12T15:17:03Z<p>Da Syntax: Updated slackswitch info</p>
<hr />
<div>== synopsis ==<br />
I've ([[User:Xopr|xopr]]) created this network information page mainly because no-one knows the current setup by heart, and I'm preparing for [[Congress everywhere]] without breaking people's [https://duckduckgo.com/?q=kittens&ia=images precious downloads]..<br />
<br />
== current setup ==<br />
<br />
=== trunks ===<br />
# [[#hACKswitch|hACKswitch]] - [[#router|router]]<br />
# hACKspace - slACKspace (unused)<br />
# [[#hACKswitch|hACKswitch]] - [[#slACKswitch|slACKswitch]]<br />
<br />
=== VLANs ===<br />
:2. LAN<br />
:10. DMZ<br />
:11. VoIP<br />
<br />
=== connections ===<br />
==== slACKswitch ====<br />
<br />
{| class="wikitable switchtable"<br />
| VLAN<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
|-<br />
| Port<br />
| style="background-color:lightblue" | '''1''' <br />
| style="background-color:lightblue" | '''3'''<br />
| style="background-color:lightblue" | '''5'''<br />
| style="background-color:lightblue" | '''7'''<br />
| style="background-color:lightblue" | '''9'''<br />
| style="background-color:lightblue" | '''11'''<br />
| style="background-color:lightblue" | '''13'''<br />
| style="background-color:lightblue" | '''15'''<br />
<br />
|-<br />
| Port<br />
| style="background-color:lightblue" | '''2''' <br />
| style="background-color:lightblue" | '''4''' <br />
| style="background-color:lightblue" | '''6''' <br />
| style="background-color:lightblue" | '''8''' <br />
| style="background-color:lightblue" | '''10'''<br />
| style="background-color:lightblue" | '''12''' <br />
| style="background-color:lightblue" | '''14''' <br />
| style="background-color:lightgreen" | '''16''': [[#trunks|Trunk 3]]<br />
|-<br />
| VLAN<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightgreen" | trunk<br />
|}<br />
<br />
==== hACKswitch ====<br />
{| class="wikitable switchtable"<br />
| VLAN<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:yellow" | 2u<br />
| style="background-color:yellow" | 2u<br />
| style="background-color:lightgreen" | trunk<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | 2u<br />
|-<br />
| Port<br />
| style="background-color:lightblue" | '''1''' <br />
| style="background-color:lightblue" | '''3'''<br />
| style="background-color:lightblue" | '''5'''<br />
| style="background-color:lightgrey" | '''7'''<br />
| style="background-color:yellow" | '''9''': [[Telephone_system:Analog_Telephone_Adapter#Linksys_PAP2T|VoIP]]<br />
| style="background-color:yellow" | '''11''': [[Thomson_ST2030|VoIP]]<br />
| style="background-color:lightgreen" | '''13''': [[#trunks|Trunk 3]]<br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | '''15''': Printer<br />
|-<br />
| Port<br />
| style="background-color:lightblue" | '''2''' <br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | '''4''': [[ACKade]]<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | '''6''': Solder<br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | '''8''': [[3D_printer|3D PC]]<br />
| style="background-color:orange" | '''10''': [[DMZ]]<br />
| style="background-color:orange" | '''12''': [[Spaceview|Cam]]<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightgreen 20px,lightgreen 40px)" | '''14''': [[VM_server|Srv T]]<br />
| style="background-color:lightgreen" | '''16''': [[#trunks|Trunk 1]]<br />
|-<br />
| VLAN<br />
| style="background-color:lightblue" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | 2u<br />
| style="background-color:orange" | 10u<br />
| style="background-color:orange" | 10u<br />
| style="background:repeating-linear-gradient(-45deg,lightgreen,lightgreen 20px,lightgray 20px,lightgray 40px)" | trunk<br />
| style="background-color:lightgreen" | trunk<br />
|}<br />
<br />
==== router ====<br />
<br />
{| class="wikitable switchtable"<br />
| VLAN<br />
| style="background-color:red" | 'WAN'<br />
|<br />
| style="background-color:lightgreen" | trunk<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
|-<br />
| Port<br />
| style="background-color:red" | '''WAN''' <br />
|<br />
| style="background-color:lightgreen" | '''1''': [[#trunks|Trunk 1]]<br />
| style="background-color:lightblue" | '''2'''<br />
| style="background-color:lightblue" | '''3'''<br />
| style="background-color:lightblue" | '''4'''<br />
|}<br />
<br />
==== Legend ====<br />
{|<br />
|- style="background-color:red;color:white;border:none"<br />
| WAN / unsecured<br />
|- style="background-color:orange;color:white"<br />
| DMZ / VPN<br />
|- style="background-color:yellow"<br />
| VoIP / telephony<br />
|- style="background-color:lightgreen"<br />
| trunk / backbone<br />
|- style="background-color:lightblue"<br />
| LAN<br />
|- style="background-color:purple;color:white"<br />
| test / special<br />
|- style="background-color:lightgray"<br />
| servers / core infra<br />
|- style="background-color:black;color:white"<br />
| wireless / guest<br />
|}<br />
<br />
== notes ==<br />
* [[user:Da_Syntax|Da Syntax]] and [[User:Xopr|xopr]] redid ''all'' the cabling on February 13th. All static cables are labeled on both sides, tucked away thoroughly, trimmed, and plugged in documented ports. Please keep the label on (and updated) at all times. You can find the label-tywraps in the network-connector box in the [[stACKspace]].<br />
*: Want to connect something? No problem; just pick any free port (except port 10, that won't work).<br />
*: Want a permanent connection? Should be no problem; make sure [[user:Da_Syntax|Da Syntax]] or [[User:Xopr|xopr]] is informed (via the {{discuss}}, for example, so we can reach consensus).<br />
* Currently, the [[slACKspace]] has three available connections: one at the switch and two above the white couch (labeled 'couch' and 'potatoe')<br />
<br />
== also see ==<br />
* [[switches]]<br />
<br />
[[Category:Information]][[Category:Network]]</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Nieuwjaarswok/LAN_2017&diff=7308Nieuwjaarswok/LAN 20172017-03-12T15:06:43Z<p>Da Syntax: </p>
<hr />
<div>{{Event<br />
|Featured=No<br />
|State=Completed<br />
|Start date=2017/03/11<br />
|Start time=18:00<br />
|End date=2017/03/12<br />
|Contact=Da Syntax<br />
|Kind=Meeting, Party<br />
|Location=ACKspace , Parkstad Plaza<br />
|URL=http://parkstad-plaza.nl/<br />
|Fee=€28,95<br />
|Short description=Wokken, en aansluitend LAN-party<br />
}}<br />
Het idee is om rond <s>17:00</s> 18:00 a 18:30 te verzamelen bij de space en vanuit daar samen door te gaan naar de Parkstad Plaza wok bij het Roda stadion. De reservering bij de wok staat om 19:30. De onderstaande lijst is de definitieve lijst die aangehouden wordt bij het reserveren. Laat ook even weten of je wilt rijden van de space naar de wok en terug, of dat iemand anders eventueel in jouw auto mag rijden.<br />
<br />
<br />
{| cellspacing="1" cellpadding="1" border="1" align="center" summary="New Years Noms availability" class="wikitable sortable"<br />
|-<br />
! scope="col" | Wie <br />
! scope="col" | Wok<br />
! scope="col" | LAN<br />
! scope="col" | Kan rijden<br />
! scope="col" | Heeft auto<br />
|-<br />
! scope="row" | [[User:Da Syntax|Da Syntax]] <br />
| Ja <br />
| Ja <br />
| Als het moet <br />
| Ja<br />
|-<br />
! scope="row" | [[User:Vicarious|Vicarious]] <br />
| Nee <br />
| Nee<br />
| nvt<br />
| nvt<br />
|-<br />
! scope="row" | [[User:pmwq|pmwq]]<br />
| Ja<br />
| Ja<br />
| Nee<br />
| Nee<br />
|-<br />
! scope="row" | [[User:Xopr|xopr]] + 1<br />
| Ja + 1<br />
| Niet zeker gezien tijdstip<br />
| Ja<br />
| Ja (2 a 3 plekken vrij)<br />
|-<br />
! scope="row" | [[User:Computer1up|Computer1up]] <br />
| Ja <br />
| Ja, afhankelijk van ouders<br />
| Nee <br />
| Nee<br />
|-<br />
<br />
! scope="row" | [[User:Wirexbox|Wirexbox]] <br />
| Ja <br />
| Ja<br />
| Nee <br />
| Nee<br />
|-<br />
<br />
! scope="row" | [[User:Wolkje|Wolkje]]<br />
| Ja<br />
| Onbekend<br />
| Ja<br />
| Ja<br />
|-<br />
<br />
! scope="row" | [[User:Prodigity|Prodigity]]<br />
| Ja<br />
| Ja<br />
| Ja<br />
| Nee... ish<br />
|-<br />
<br />
! scope="row" | Swiemel<br />
| Ja + 1<br />
| Onbekend<br />
| Ja<br />
| Ja<br />
|-<br />
<br />
! scope="row" | [[User:Roelke|Roelke]]<br />
| Ja<br />
| Maybe<br />
| Als het moet<br />
| Ja<br />
|-<br />
<br />
!scope="row" | [[User:Stuiterveer|Stuiterveer]]<br />
| Ja + 1<br />
| Ja<br />
| Nee<br />
| Nee<br />
|-<br />
<br />
!scope="row" | [[User:PsychiC|PsychiC]]<br />
| Ja<br />
| Nee<br />
| Ja<br />
| Ja<br />
|-<br />
<br />
|}<br />
<br />
=== Wok ===<br />
We gaan wokken bij Parkstad Plaza. Dit kost €28.95 voor 3 uur wokken. Bij dat bedrag zit fris, koffie en thee inbegrepen. Alcoholische dranken zijn verkrijgbaar met losse muntjes van €1 per stuk. <br />
<br />
=== LAN ===<br />
Er lijkt voldoende animo voor de LAN party, hier zal verder niet direct wat vooruit gepland worden. Neem gewoon lekker je pc/laptop mee, hang m aan het netwerk en speel een spelletje ;).<br />
<br />
[[Category:Food]][[Category:Network]]</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Nieuwjaarswok/LAN_2017&diff=7307Nieuwjaarswok/LAN 20172017-03-12T15:06:33Z<p>Da Syntax: </p>
<hr />
<div>{{Event<br />
|Featured=Yes<br />
|State=Completed<br />
|Start date=2017/03/11<br />
|Start time=18:00<br />
|End date=2017/03/12<br />
|Contact=Da Syntax<br />
|Kind=Meeting, Party<br />
|Location=ACKspace , Parkstad Plaza<br />
|URL=http://parkstad-plaza.nl/<br />
|Fee=€28,95<br />
|Short description=Wokken, en aansluitend LAN-party<br />
}}<br />
Het idee is om rond <s>17:00</s> 18:00 a 18:30 te verzamelen bij de space en vanuit daar samen door te gaan naar de Parkstad Plaza wok bij het Roda stadion. De reservering bij de wok staat om 19:30. De onderstaande lijst is de definitieve lijst die aangehouden wordt bij het reserveren. Laat ook even weten of je wilt rijden van de space naar de wok en terug, of dat iemand anders eventueel in jouw auto mag rijden.<br />
<br />
<br />
{| cellspacing="1" cellpadding="1" border="1" align="center" summary="New Years Noms availability" class="wikitable sortable"<br />
|-<br />
! scope="col" | Wie <br />
! scope="col" | Wok<br />
! scope="col" | LAN<br />
! scope="col" | Kan rijden<br />
! scope="col" | Heeft auto<br />
|-<br />
! scope="row" | [[User:Da Syntax|Da Syntax]] <br />
| Ja <br />
| Ja <br />
| Als het moet <br />
| Ja<br />
|-<br />
! scope="row" | [[User:Vicarious|Vicarious]] <br />
| Nee <br />
| Nee<br />
| nvt<br />
| nvt<br />
|-<br />
! scope="row" | [[User:pmwq|pmwq]]<br />
| Ja<br />
| Ja<br />
| Nee<br />
| Nee<br />
|-<br />
! scope="row" | [[User:Xopr|xopr]] + 1<br />
| Ja + 1<br />
| Niet zeker gezien tijdstip<br />
| Ja<br />
| Ja (2 a 3 plekken vrij)<br />
|-<br />
! scope="row" | [[User:Computer1up|Computer1up]] <br />
| Ja <br />
| Ja, afhankelijk van ouders<br />
| Nee <br />
| Nee<br />
|-<br />
<br />
! scope="row" | [[User:Wirexbox|Wirexbox]] <br />
| Ja <br />
| Ja<br />
| Nee <br />
| Nee<br />
|-<br />
<br />
! scope="row" | [[User:Wolkje|Wolkje]]<br />
| Ja<br />
| Onbekend<br />
| Ja<br />
| Ja<br />
|-<br />
<br />
! scope="row" | [[User:Prodigity|Prodigity]]<br />
| Ja<br />
| Ja<br />
| Ja<br />
| Nee... ish<br />
|-<br />
<br />
! scope="row" | Swiemel<br />
| Ja + 1<br />
| Onbekend<br />
| Ja<br />
| Ja<br />
|-<br />
<br />
! scope="row" | [[User:Roelke|Roelke]]<br />
| Ja<br />
| Maybe<br />
| Als het moet<br />
| Ja<br />
|-<br />
<br />
!scope="row" | [[User:Stuiterveer|Stuiterveer]]<br />
| Ja + 1<br />
| Ja<br />
| Nee<br />
| Nee<br />
|-<br />
<br />
!scope="row" | [[User:PsychiC|PsychiC]]<br />
| Ja<br />
| Nee<br />
| Ja<br />
| Ja<br />
|-<br />
<br />
|}<br />
<br />
=== Wok ===<br />
We gaan wokken bij Parkstad Plaza. Dit kost €28.95 voor 3 uur wokken. Bij dat bedrag zit fris, koffie en thee inbegrepen. Alcoholische dranken zijn verkrijgbaar met losse muntjes van €1 per stuk. <br />
<br />
=== LAN ===<br />
Er lijkt voldoende animo voor de LAN party, hier zal verder niet direct wat vooruit gepland worden. Neem gewoon lekker je pc/laptop mee, hang m aan het netwerk en speel een spelletje ;).<br />
<br />
[[Category:Food]][[Category:Network]]</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Nieuwjaarswok/LAN_2017&diff=7303Nieuwjaarswok/LAN 20172017-03-02T20:18:59Z<p>Da Syntax: </p>
<hr />
<div>{{Event<br />
|Featured=Yes<br />
|State=Planned<br />
|Start date=2017/03/11<br />
|Start time=18:00<br />
|End date=2017/03/12<br />
|Contact=Da Syntax<br />
|Location=ACKspace , Parkstad Plaza<br />
|Fee=€28,95<br />
|Short description=Wokken, en indien animo LAN-party<br />
}}<br />
Het idee is om rond <s>17:00</s> 18:00 a 18:30 te verzamelen bij de space en vanuit daar samen door te gaan naar de Parkstad Plaza wok bij het Roda stadion. De reservering bij de wok staat om 19:30. De onderstaande lijst is de definitieve lijst die aangehouden wordt bij het reserveren. Laat ook even weten of je wilt rijden van de space naar de wok en terug, of dat iemand anders eventueel in jouw auto mag rijden.<br />
<br />
<br />
{| cellspacing="1" cellpadding="1" border="1" align="center" summary="New Years Noms availability" class="wikitable sortable"<br />
|-<br />
! scope="col" | Wie <br />
! scope="col" | Wok<br />
! scope="col" | LAN<br />
! scope="col" | Kan rijden<br />
! scope="col" | Heeft auto<br />
|-<br />
! scope="row" | [[User:Da Syntax|Da Syntax]] <br />
| Ja <br />
| Ja <br />
| Als het moet <br />
| Ja<br />
|-<br />
! scope="row" | [[User:Vicarious|Vicarious]] <br />
| Ja <br />
| Nee<br />
| Ja<br />
| Ja<br />
|-<br />
! scope="row" | [[User:pmwq|pmwq]]<br />
| Ja<br />
| Ja<br />
| Nee<br />
| Nee<br />
|-<br />
! scope="row" | [[User:Xopr|xopr]] + 1<br />
| Ja + 1<br />
| Ja + 1 (vermoedelijk)<br />
| Misschien<br />
| Ja<br />
|-<br />
! scope="row" | [[User:Computer1up|Computer1up]] <br />
| Ja <br />
| Ja, afhankelijk van ouders<br />
| Nee <br />
| Nee<br />
|-<br />
<br />
! scope="row" | [[User:Wirexbox|Wirexbox]] <br />
| Ja <br />
| Ja<br />
| Nee <br />
| Nee<br />
|-<br />
<br />
! scope="row" | [[User:Wolkje|Wolkje]]<br />
| Ja<br />
| Onbekend<br />
| Ja<br />
| Ja<br />
|-<br />
<br />
! scope="row" | [[User:Prodigity|Prodigity]]<br />
| Ja<br />
| Ja<br />
| Ja<br />
| Nee... ish<br />
|-<br />
<br />
! scope="row" | Swiemel<br />
| Ja + 1<br />
| Onbekend<br />
| Ja<br />
| Ja<br />
|-<br />
<br />
! scope="row" | [[User:Roelke|Roelke]]<br />
| Ja<br />
| Maybe<br />
| Als het moet<br />
| Ja<br />
|-<br />
<br />
!scope="row" | [[User:Stuiterveer|Stuiterveer]]<br />
| Ja + 1<br />
| Ja<br />
| Nee<br />
| Nee<br />
|-<br />
<br />
|}<br />
<br />
=== Wok ===<br />
We gaan wokken bij Parkstad Plaza. Dit kost €28.95 voor 3 uur wokken. Bij dat bedrag zit fris, koffie en thee inbegrepen. Alcoholische dranken zijn verkrijgbaar met losse muntjes van €1 per stuk. <br />
<br />
=== LAN ===<br />
Er lijkt voldoende animo voor de LAN party, hier zal verder niet direct wat vooruit gepland worden. Neem gewoon lekker je pc/laptop mee, hang m aan het netwerk en speel een spelletje ;).<br />
<br />
[[Category:Food]][[Category:Network]]</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Nieuwjaarswok/LAN_2017&diff=7302Nieuwjaarswok/LAN 20172017-03-02T20:13:32Z<p>Da Syntax: </p>
<hr />
<div>{{Event<br />
|Featured=Yes<br />
|State=Planned<br />
|Start date=2017/03/11<br />
|Start time=18:00<br />
|End date=2017/03/12<br />
|Contact=Da Syntax<br />
|Location=ACKspace , Parkstad Plaza<br />
|Fee=€28,95<br />
|Short description=Wokken, en indien animo LAN-party<br />
}}<br />
Het idee is om rond 17:00 te verzamelen bij de space en vanuit daar samen door te gaan naar de Parkstad Plaza wok bij het Roda stadion. De onderstaande lijst is de definitieve lijst die aangehouden wordt bij het reserveren. Laat ook even weten of je wilt rijden van de space naar de wok en terug, of dat iemand anders eventueel in jouw auto mag rijden.<br />
<br />
{| cellspacing="1" cellpadding="1" border="1" align="center" summary="New Years Noms availability" class="wikitable sortable"<br />
|-<br />
! scope="col" | Wie <br />
! scope="col" | Wok<br />
! scope="col" | LAN<br />
! scope="col" | Kan rijden<br />
! scope="col" | Heeft auto<br />
|-<br />
! scope="row" | [[User:Da Syntax|Da Syntax]] <br />
| Ja <br />
| Ja <br />
| Als het moet <br />
| Ja<br />
|-<br />
! scope="row" | [[User:Vicarious|Vicarious]] <br />
| Ja <br />
| Nee<br />
| Ja<br />
| Ja<br />
|-<br />
! scope="row" | [[User:pmwq|pmwq]]<br />
| Ja<br />
| Ja<br />
| Nee<br />
| Nee<br />
|-<br />
! scope="row" | [[User:Xopr|xopr]] + 1<br />
| Ja + 1<br />
| Ja + 1 (vermoedelijk)<br />
| Misschien<br />
| Ja<br />
|-<br />
! scope="row" | [[User:Computer1up|Computer1up]] <br />
| Ja <br />
| Ja, afhankelijk van ouders<br />
| Nee <br />
| Nee<br />
|-<br />
<br />
! scope="row" | [[User:Wirexbox|Wirexbox]] <br />
| Ja <br />
| Ja<br />
| Nee <br />
| Nee<br />
|-<br />
<br />
! scope="row" | [[User:Wolkje|Wolkje]]<br />
| Ja<br />
| Onbekend<br />
| Ja<br />
| Ja<br />
|-<br />
<br />
! scope="row" | [[User:Prodigity|Prodigity]]<br />
| Ja<br />
| Ja<br />
| Ja<br />
| Nee... ish<br />
|-<br />
<br />
! scope="row" | Swiemel<br />
| Ja + 1<br />
| Onbekend<br />
| Ja<br />
| Ja<br />
|-<br />
<br />
! scope="row" | [[User:Roelke|Roelke]]<br />
| Ja<br />
| Maybe<br />
| Als het moet<br />
| Ja<br />
|-<br />
<br />
!scope="row" | [[User:Stuiterveer|Stuiterveer]]<br />
| Ja + 1<br />
| Ja<br />
| Nee<br />
| Nee<br />
|-<br />
<br />
|}<br />
<br />
=== Wok ===<br />
We gaan wokken bij Parkstad Plaza. Dit kost €28.95 voor 3 uur wokken. Bij dat bedrag zit fris, koffie en thee inbegrepen. Alcoholische dranken zijn verkrijgbaar met losse muntjes van €1 per stuk. <br />
<br />
=== LAN ===<br />
Staat nog niet vast of deze doorgaat. Er wordt gekeken naar de tabel hierboven om te kijken of er animo is.<br />
<br />
[[Category:Food]][[Category:Network]]</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=FOSDEM&diff=7138FOSDEM2017-02-06T22:00:17Z<p>Da Syntax: </p>
<hr />
<div>{{Event<br />
|State=Completed<br />
|Start date=2017/02/04<br />
|Start time=09:30<br />
|End date=2017/02/05<br />
|End time=18:00<br />
|Contact=xopr<br />
|Kind=Conference<br />
|Location=Brussels<br />
|URL=https://fosdem.org/<br />
|Fee=free<br />
|Short description=FOSDEM is a free event that offers open source communities a place to meet, share ideas and collaborate.<br />
}}<br />
{{hint to add yourself}}<br />
== previous events ==<br />
=== 2016 ===<br />
FOSDEM 2016 was january 30th and 31st 2016.<br />
<br />
We stayed at [http://www.lesaubergesdejeunesse.be/en_US/website/action/hotel?id=1 Les Auberge de Jeunesse Génération Europe], which was super cheap, cozy, tidy and pretty fancy. And they had special beers and trappist for &euro;3,- at most!<br />
<br />
All in all, I think I can state that we had great fun!<br />
<br />
Attended:<br />
# [[User:Xopr|xopr]]<br />
# [[User:Stuiterveer|Stuiterveer]]<br />
# [[User:Da_Syntax|Da Syntax]]<br />
# [[User:Coolepascal|CoolePascal]]+1 (only on sunday, we didn't have a change to meet, unfortunately)</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Nieuwjaarswok/LAN_2017&diff=7137Nieuwjaarswok/LAN 20172017-02-06T21:57:48Z<p>Da Syntax: </p>
<hr />
<div>{{Event<br />
|State=Planned<br />
|Start date=2017/03/11<br />
|Start time=17:00<br />
|End date=2017/03/12<br />
|Contact=Da Syntax<br />
|Location=ACKspace , Parkstad Plaza<br />
|Fee=€28,95<br />
|Short description=Wokken, en indien animo LAN-party<br />
}}<br />
Het idee is om rond 17:00 te verzamelen bij de space en vanuit daar samen door te gaan naar de Parkstad Plaza wok bij het Roda stadion. De onderstaande lijst is de definitieve lijst die aangehouden wordt bij het reserveren. Laat ook even weten of je wilt rijden van de space naar de wok en terug, of dat iemand anders eventueel in jouw auto mag rijden.<br />
<br />
{| cellspacing="1" cellpadding="1" border="1" align="center" summary="New Years Noms availability" class="wikitable sortable"<br />
|-<br />
! scope="col" | Wie <br />
! scope="col" | Wok<br />
! scope="col" | LAN<br />
! scope="col" | Kan rijden<br />
! scope="col" | Heeft auto<br />
|-<br />
! scope="row" | [[User:Da Syntax|Da Syntax]] <br />
| Ja <br />
| Ja <br />
| Als het moet <br />
| Ja<br />
|}<br />
<br />
=== Wok ===<br />
We gaan wokken bij Parkstad Plaza. Dit kost €28.95 voor 3 uur wokken. Bij dat bedrag zit fris, koffie en thee inbegrepen. Alcoholische dranken zijn verkrijgbaar met losse muntjes van €1 per stuk. <br />
<br />
=== LAN ===<br />
Staat nog niet vast of deze doorgaat. Er wordt gekeken naar de tabel hierboven om te kijken of er animo is.</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Nieuwjaarswok/LAN_2017&diff=7136Nieuwjaarswok/LAN 20172017-02-06T21:57:25Z<p>Da Syntax: </p>
<hr />
<div>{{Event<br />
|State=Planned<br />
|Start date=2017/03/11<br />
|Start time=17:00<br />
|End date=2017/03/12<br />
|Contact=Da Syntax<br />
|Location=ACKspace , Parkstad Plaza<br />
|Fee=€28,95<br />
|Short description=Wokken, en indien animo LAN-party<br />
}}<br />
Het idee is om rond 17:00 te verzamelen bij de space en vanuit daar samen door te gaan naar de Parkstad Plaza wok bij het Roda stadion. De onderstaande lijst is de definitieve lijst die aangehouden wordt bij het reserveren. Laat ook even weten of je wilt rijden van de space naar de wok en terug, of dat iemand anders eventueel in jouw auto mag rijden.<br />
<br />
{| cellspacing="1" cellpadding="1" border="1" align="center" summary="New Years Noms availability" class="wikitable sortable"<br />
|-<br />
! scope="col" | Wie <br />
! scope="col" | Wok<br />
! scope="col" | LAN<br />
! scope="col" | Kan rijden<br />
! scope="col" | Heeft auto<br />
|-<br />
! scope="row" | [[User:Da Syntax|Da Syntax]] <br />
| Ja <br />
| Ja <br />
| Als het moet <br />
| Ja<br />
|}<br />
<br />
=== wok ===<br />
We gaan wokken bij Parkstad Plaza. Dit kost €28.95 voor 3 uur wokken. Bij dat bedrag zit fris, koffie en thee inbegrepen. Alcoholische dranken zijn verkrijgbaar met losse muntjes van €1 per stuk. <br />
<br />
=== LAN ===<br />
Staat nog niet vast of deze doorgaat. Er wordt gekeken naar de tabel hierboven om te kijken of er animo is.</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Nieuwjaarswok/LAN_2017&diff=7135Nieuwjaarswok/LAN 20172017-02-06T21:50:25Z<p>Da Syntax: Created page with "{{Event |State=Planned |Start date=2017/03/11 |Start time=17:00 |End date=2017/03/12 |Contact=Da Syntax |Location=Location::ACKspace , Parkstad Plaza |Fee=€28,95 |Short desc..."</p>
<hr />
<div>{{Event<br />
|State=Planned<br />
|Start date=2017/03/11<br />
|Start time=17:00<br />
|End date=2017/03/12<br />
|Contact=Da Syntax<br />
|Location=Location::ACKspace , Parkstad Plaza<br />
|Fee=€28,95<br />
|Short description=Wokken, en indien animo LAN-party<br />
}}</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=File:Incomplete.png&diff=7079File:Incomplete.png2016-12-08T12:38:49Z<p>Da Syntax: </p>
<hr />
<div></div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Meeting_September_2016&diff=6121Meeting September 20162016-09-13T21:35:15Z<p>Da Syntax: </p>
<hr />
<div>{{Event<br />
|State=Completed<br />
|Start date=2016/09/03<br />
|Start time=17:00<br />
|End date=2016/09/03<br />
|End time=18:00<br />
|Contact=xopr<br />
|Kind=Meeting<br />
|Location=ACKspace (Carbon6, L406)<br />
|Fee=Free<br />
|Short description=Participants meeting<br />
}}<br />
<noinclude>{{featured}}</noinclude><br />
<br />
<br />
{{InfoBox<br />
|Type=alert<br />
|Image=<br />
|Title=Meeting om 17:00u v&oacute;&oacute;r aanvang van de BBQ<br />
|Text=<br />
|Float=<br />
|Clear=<br />
|Width=<br />
|Color=<br />
|Background=<br />
}}<br />
De vergadering is ingepland op Zaterdag (03-09) 17:00 (voor aanvang van de [[BBQ_reloaded|barbecue]]).<br />
<br />
=== agendapunten ===<br />
Voorlopige agenda (mail agendapunten naar [[User:Xopr|xopr]] of info):<br />
# Opening en vaststelling agenda<br />
# Vaststelling vorige notulen en actiepunten<br />
# Ingekomen stukken<br />
# TBD<br />
# Heropeningsfeest<br />
# Rondvraag<br />
# Sluiting<br />
# Actielijst<br />
<br />
=== notulen ===<br />
Notulen van deze vergadering: [[Media:Deelnemersvergadering 2016-09-03.pdf]]<br />
<br />
[[Category:Information]][[Category:Meeting]]</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=BBQ_reloaded&diff=6120BBQ reloaded2016-09-13T21:34:41Z<p>Da Syntax: </p>
<hr />
<div>{{Event<br />
|State=Completed<br />
|Start date=2016/09/03<br />
|Start time=18:00<br />
|End date=2016/09/03<br />
|End time=23:00<br />
|Contact=Prodigity<br />
|Kind=Party<br />
|Location=ACKspace<br />
|URL=https://datumprikker.nl/afspraak/overzicht/f7wxi6b6xrvpdm3k<br />
|Fee=Rond 5 euro P.P., the usual.<br />
|Short description=Nieuwe BBQ inplannen, de HOAB bbq was plan technisch err... Poging 2!<br />
}}<br />
3 September willen we een BBQ houden!<br />
Zie ook de [[Meeting September 2016]] die eraan vooraf gaat<br />
<br />
Zie de datumprikker en maak gebruik van de 'Vraag / opmerking' als je speciale wensen hebt;<br />
https://datumprikker.nl/afspraak/beschikbaarheid/pqx3tebpifhpdm3k<br />
<br />
* aes256cbc<br />
* AmazingMike<br />
* Cloud<br />
* Computer1up<br />
* d010b<br />
* Jetse (misschien)<br />
* PsychiC<br />
* Prodigity<br />
* Vicarious<br />
* xopr<br />
* [[User:Da Syntax|Da Syntax]]<br />
<br />
{{hint to add yourself}}</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Open_Door_Day_CB6&diff=6117Open Door Day CB62016-09-09T12:26:11Z<p>Da Syntax: </p>
<hr />
<div>{{Event<br />
|State=Planned<br />
|Start date=2016/09/18<br />
|Start time=11:00<br />
|End date=2016/09/18<br />
|Contact=Da Syntax<br />
|Kind=Party<br />
|Location=ACKspace<br />
|Short description=Carbon 6 open door day<br />
}}</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Open_Door_Day_CB6&diff=6116Open Door Day CB62016-09-09T11:54:00Z<p>Da Syntax: Created page with "{{Event |State=Planned |Start date=2016/09/18 |End date=2016/09/18 |Contact=Da Syntax |Kind=Party |Location=ACKspace |Short description=Carbon 6 open door day }}"</p>
<hr />
<div>{{Event<br />
|State=Planned<br />
|Start date=2016/09/18<br />
|End date=2016/09/18<br />
|Contact=Da Syntax<br />
|Kind=Party<br />
|Location=ACKspace<br />
|Short description=Carbon 6 open door day<br />
}}</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=BBQ_reloaded&diff=6105BBQ reloaded2016-09-03T12:29:33Z<p>Da Syntax: </p>
<hr />
<div><noinclude>{{Featured}}</noinclude><br />
{{Event<br />
|State=Planned<br />
|Start date=2016/09/03<br />
|Start time=18:00<br />
|End date=2016/09/03<br />
|End time=23:00<br />
|Contact=Prodigity<br />
|Kind=Party<br />
|Location=ACKspace<br />
|URL=https://datumprikker.nl/afspraak/overzicht/f7wxi6b6xrvpdm3k<br />
|Fee=Rond 5 euro P.P., the usual.<br />
|Short description=Nieuwe BBQ inplannen, de HOAB bbq was plan technisch err... Poging 2!<br />
}}<br />
3 September willen we een BBQ houden!<br />
Zie ook de [[Meeting September 2016]] die eraan vooraf gaat<br />
<br />
Zie de datumprikker en maak gebruik van de 'Vraag / opmerking' als je speciale wensen hebt;<br />
https://datumprikker.nl/afspraak/beschikbaarheid/pqx3tebpifhpdm3k<br />
<br />
* aes256cbc<br />
* AmazingMike<br />
* Cloud<br />
* Computer1up<br />
* d010b<br />
* Jetse (misschien)<br />
* PsychiC<br />
* Prodigity<br />
* Vicarious<br />
* xopr<br />
* [[User:Da Syntax|Da Syntax]]<br />
<br />
{{hint to add yourself}}</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=VM_server&diff=6036VM server2016-06-20T09:39:33Z<p>Da Syntax: </p>
<hr />
<div>{{Project<br />
|State=Completed<br />
|Members=Da Syntax<br />
|Description=VMWare server for ACKspace infra<br />
}}<br />
<br />
=== synopsis ===<br />
Create a VMware server for hosting some of the core services:<br />
* [[Telephone system]]<br />
* [[VPN]]<br />
<br />
=== result ===<br />
The result is an artsy wall-mount laptop PCB (with "UPS")<br />
<br />
=== also see ===<br />
* [[4U VMware cluster]]<br />
* [[VMWare Server(s)]]<br />
* [[Virtualization]]<br />
* [[Network information]]<br />
<br />
=== todo ===<br />
* make sure server + <strike>VMs start up</strike> (Changed, not tested) on powerfail</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=VM_server&diff=6035VM server2016-06-20T09:24:54Z<p>Da Syntax: /* todo */</p>
<hr />
<div>{{Project<br />
|State=Completed<br />
|Members=Da Syntax<br />
|Description=VMWare server for ACKspace infra<br />
}}<br />
<br />
=== synopsis ===<br />
Create a VMware server for hosting some of the core services:<br />
* [[Telephone system]]<br />
* [[VPN]]<br />
<br />
=== result ===<br />
The result is an artsy wall-mount laptop PCB (with "UPS")<br />
<br />
=== also see ===<br />
* [[4U VMware cluster]]<br />
* [[VMWare Server(s)]]<br />
* [[Virtualization]]<br />
* [[Network information]]<br />
<br />
=== todo ===<br />
* make sure server + VMs start up on powerfail</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=File:VM_server_Picture.jpg&diff=6034File:VM server Picture.jpg2016-06-20T09:24:10Z<p>Da Syntax: </p>
<hr />
<div></div>Da Syntaxhttps://ackspace.nl/w/index.php?title=ACKade&diff=5852ACKade2016-02-22T09:28:36Z<p>Da Syntax: </p>
<hr />
<div><noinclude>{{featured}}</noinclude><br />
{{Project<br />
|State=Active<br />
|Members=PsychiC, Da Syntax, Prodigity, Computer1up, Stuiterveer<br />
|Description=The ACKspace arcade. Game on!<br />
|Picture=ACKade.jpg<br />
}}<br />
We have an arcade cabinet!<br />
<br />
Now, the problem is, it doesn't work, (or worse, nobody knows its state). Everybody knows gaming can be a stress relief, so when visiting ACKspace for some social gathering or relaxing, one might recognize value in a working arcade cabinet.<br />
<br />
=== synopsis ===<br />
Get the arcade cabinet fully working again. Choose the path of least resistance for maximum profit.<br />
<br />
=== challenge ===<br />
[[User:Xopr|Xopr]] really wants to see the ACKade working, and the challenge is running for '''more than a year now''', so here are the conditions to win:<br />
<br />
* '''working''' arcade system (including monitor and buttons)<br />
* at least one platform/emulator working (reduced from three)<br />
* have at least three games working<br />
* '''deadline''' is 31 december '''2015'''<br />
* more info on the wiki, more points to win<br />
<br />
==== The winners are ====<br />
For some winners, their actions were difficult to compare, but with some debate, the following people won:<br />
# [[user:stuiterveer|stuiterveer]] for doing all the wiring and working on steam<br />
# [[user:Prodigity|Prodigity]] for also doing wiring and various tweaking<br />
# [[user:Da Syntax|Da Syntax]] for some tweaking and physical labour<br />
# [[user:PsychiC|PsychiC]] for doing spec inventory<br />
# [[user:Computer1up|Computer1up]] for GPU upgrade (attempt)<br />
# [[user:Vicarious|Vicarious]] for providing means for coin slot button mechanism<br />
# [[user:AmazingMike|AmazingMike]] for thougougly testing<br />
<div style="position:relative;display:inline-block"><br />
[[File:retro_shirt.png|335px|thumb|Winner! A (new) '''retro shirt''' in your size, winners: [[user:stuiterveer|stuiterveer]] and [[user:Prodigity|Prodigity]]]]<br />
</div><br />
<div style="position:relative;display:inline-block"><br />
[[File:retro_mints.jpg|335px|thumb|Runner up: Awesome '''NES controller tin''' complete with mints, winners: [[user:Da Syntax|Da Syntax]] and [[user:PsychiC|PsychiC]]]]<br />
</div><br />
<div style="position:relative;display:inline-block"><br />
[[File:retro_mate.jpg|335px|thumb|3<sup>rd</sup> place: your favorite delicious beverage, '''club mate''', winners: [[user:Computer1up|Computer1up]], [[user:Vicarious|Vicarious]] and [[user:AmazingMike|AmazingMike]]]]<br />
</div><br />
<br />
If you do the math, '''seven''' people can win something, if the system is up and running '''before''' the new year (2016)<br />
<br />
=== .plan ===<br />
* identify the current hardware (system, buttons, screen)<br />
* try and quick-fix the hardware<br />
* determine hardware upgrades<br />
* determine software (emulators, shells)<br />
* stretch item: determine add-ons (html5 games, external [multiplayer] controllers)<br />
<br />
=== current hardware ===<br />
PsychiC found the PC and missing joystick...<br />
<br />
<br />
PC Specifications :<br />
* ECS/Foxonn MCP61PM-AM HT2000 (Docs refer to the -AM as a Gateway Brand OEM Board)<br />
** AM2 Socket<br />
** 4x DIMM<br />
** Integrated Realtek ALC888S Audio<br />
** http://www.foxconnsupport.com/download.aspx?models=&category=C000000001&brand=en-us0000002&Series=&chipset=&keywords=MCP61PM&sort=<br />
** http://h20565.www2.hp.com/portal/site/hpsc/template.PAGE/public/kb/docDisplay/?spf_p.tpst=kbDocDisplay&spf_p.prp_kbDocDisplay=wsrp-navigationalState%3DdocId%253Demr_na-c01357175-19%257CdocLocale%253D%257CcalledBy%253D&javax.portlet.begCacheTok=com.vignette.cachetoken&javax.portlet.endCacheTok=com.vignette.cachetoken<br />
* AMD Athlon(tm) 62 X2 Dual Core Processor 4600+ 2.40GHz<br />
* 4x 1GB RAM, total 4GB<br />
* NVIDIA GeForce 8400 GS Dedicated Card (to be upgraded to an ASUS 8800GT with 512MB of VRAM soon (update: system somehow refuses to boot with this graphics card installed, may be troubleshooted later but not really needed))<br />
* Seagate 120GB SATA HDD<br />
* Samsung DVD writer<br />
* Unknown brand Multi-Card Reader<br />
* MS-Tech 550@ Power Supply<br />
* OEM Case MiniATX , labelled "boXXed".<br />
<br />
Arcade cabinet specifications :<br />
* Black cabinet with red stripes , labelled "Royal Video: <br />
* 4-axis joystick (1 per player)<br />
* 3 square buttons (3 per player) <br />
* 1 buttons seems to be missing<br />
* 2 rectangular buttons (start/select)<br />
* 2 round buttons (below 2nd player controls for credits, nr of player)<br />
* 19" LCD Screen, 1280x1024 60HZ<br />
* Stereo speakers in top<br />
<br />
Controller specifications :<br />
* Arduino Mega 2650 rev 1<br />
* 2 Slots for tokens/coins<br />
<br />
=== implementation ===<br />
<br />
* We have some retro wallpapers! (They're in the 'My Pictures\wp' folder)<br />
* The system boots to steam which has a Joy2Key profile 'Joy2Key' connected to it<br />
* When in desktop mode, the 'Browser navigate (mouse)' profile is enabled<br />
* There is an on-screen keyboard in the task bar<br />
<br />
==== Joy2Key profiles ====<br />
There are several profiles which are attached to a process.<br />
By default, the 'Browser navigate (mouse)' profile is set.<br />
<br />
The list of profiles currently is:<br />
{| class="wikitable"<br />
! colspan="2" | Browser Jump wheel<br />
|-<br />
| Stick 1<br />
| (nothing)<br />
|-<br />
| White 1<br />
| (nothing)<br />
|-<br />
| Red 1 left<br />
| Player 1 jump<br />
|-<br />
| Red 1 right<br />
| Player 2 jump<br />
|-<br />
| Yellow left<br />
| Restart (F5)<br />
|-<br />
| Yellow right<br />
| (no switch)<br />
|-<br />
| Stick 2<br />
| (nothing)<br />
|-<br />
| White 2<br />
| (escape)<br />
|-<br />
| Red 2 left<br />
| Player 3 jump<br />
|-<br />
| Red 2 right<br />
| Player 4 jump<br />
|-<br />
| Hidden left<br />
| switch to profile 'Joy2Key' (hold 0.5sec)<br />
|-<br />
| Hidden right<br />
| switch to profile 'Browser navigate (mouse)' (hold 0.5sec)<br />
|-<br />
! colspan="2" | Browser navigate (mouse)<br />
|-<br />
| Stick 1<br />
| mouse pointer (slow)<br />
|-<br />
| White 1<br />
| left mouse button<br />
|-<br />
| Red 1 left<br />
| right mouse button<br />
|-<br />
| Red 1 right<br />
| navigate back (button 4)<br />
|-<br />
| Yellow left<br />
| scroll down<br />
|-<br />
| Yellow right<br />
| (no switch)<br />
|-<br />
| Stick 2<br />
| mouse pointer (fast)<br />
|-<br />
| White 2<br />
| left mouse button<br />
|-<br />
| Red 2 left<br />
| right mouse button<br />
|-<br />
| Red 2 right<br />
| navigate forward (button 5)<br />
|-<br />
| Hidden left<br />
| switch to profile 'Browser Jump wheel' (hold 0.5sec)<br />
|-<br />
| Hidden right<br />
| switch to profile 'Joy2Key' (hold 0.5sec)<br />
|-<br />
! colspan="2" | Cave Story+<br />
|-<br />
! colspan="2" | Joy2Key<br />
|-<br />
| Stick 1<br />
| WSAD<br />
|-<br />
| White 1<br />
| Z<br />
|-<br />
| Red 1 left<br />
| X<br />
|-<br />
| Red 1 right<br />
| (nothing)<br />
|-<br />
| Yellow left<br />
| (nothing)<br />
|-<br />
| Yellow right<br />
| (no switch)<br />
|-<br />
| Stick 2<br />
| (arrow keys)<br />
|-<br />
| White 2<br />
| .<br />
|-<br />
| Red 2 left<br />
| /<br />
|-<br />
| Red 2 right<br />
| (nothing)<br />
|-<br />
| Hidden left<br />
| switch to profile 'Browser navigate (mouse)' (hold 0.5sec)<br />
|-<br />
| Hidden right<br />
| switch to profile 'Browser Jump wheel' (hold 0.5sec)<br />
|-<br />
! colspan="2" | nidhogg<br />
|-<br />
! colspan="2" | null<br />
|}<br />
<br />
=== stretch items ===<br />
It would be cool to add extra controllers (read: jump pads) to the arcade.<br />
Connections need to be flexible and firm.<br />
<br />
This also needs a way to run [[Game:Jump Wheel|html5 games]], which means: run a full OS with a modern browser (webkit/gecko) which includes native hardware acceleration.<br />
<br />
<br />
=== log ===<br />
//Compter1up noticed that the page for this project is way behind, a lot has changed. Maybe someone could update it?<br />
<br />
'''2015-11-04'''<br />
* Placed ACKade back in hACKspace. (Da Syntax & Prodigity)<br />
* Replaced bulky crt with lcd and made bezel black with tape and marker (lol) (Da Syntax & Prodigity)<br />
* Rewired (ugggghhhh) and reconnected the buttons (Stuiterveer & Prodigity)<br />
* Installed a few games (Stuiterveer)<br />
<br />
'''2015-11-05'''<br />
* Installed Joy2Key to support more games (Prodigity)<br />
* Used black tape to cover scratches in glass plate on back (Prodigity)<br />
<br />
'''2015-11-06'''<br />
* Steam big picture mode now automatically starts on boot (Prodigity)<br />
* Tricked steam into accepting (second) controller even though it doesn't have enough buttons (Prodigity)<br />
* Audio jack on the front of pc is now connected internally and has audio cable hooked up to it (Prodigity)<br />
* Network cable has been attached to the ACKade and has been placed out of sight. (Prodigity)<br />
* Joy2Key now has profiles assigned to a couple of games, emulating a keyboard when it has to. (Prodigity)<br />
* Installed MAME with some ROMS, has its limitations due to the amount of physical buttons. 1 and 2 on keyboard are player 1/2 start buttons, 5 and 6 are for extra coins. (Stuiterveer)<br />
<br />
'''2015-11-07'''<br />
* Made sure the select button on the cabinet is working by wedging a piece of paper between the button face and switch. This is only a temporary fix, we need to look into using a different holder for the switch. (Stuiterveer)<br />
<br />
<br />
<br />
[[Category:Arduino]]<br />
[[Category:Challenge]]</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Network_information&diff=5851Network information2016-02-22T09:25:30Z<p>Da Syntax: </p>
<hr />
<div>== synopsis ==<br />
I've ([[User:Xopr|xopr]]) created this network information page mainly because no-one knows the current setup by heart, and I'm preparing for [[Congress everywhere]] without breaking people's [https://duckduckgo.com/?q=kittens&ia=images precious downloads]..<br />
<br />
== current setup ==<br />
<br />
=== trunks ===<br />
# [[#hACKswitch|hACKswitch]] - [[#router|router]]<br />
# hACKspace - slACKspace (unused)<br />
# [[#hACKswitch|hACKswitch]] - [[#slACKswitch|slACKswitch]]<br />
<br />
=== VLANs ===<br />
:2. LAN<br />
:10. DMZ<br />
:11. VoIP<br />
<br />
=== connections ===<br />
==== slACKswitch ====<br />
<br />
{| class="wikitable switchtable"<br />
| VLAN<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | 2u<br />
| style="background-color:yellow" | 2u<br />
| style="background-color:lightgreen" | trunk<br />
|-<br />
| Port<br />
| style="background-color:lightblue" | '''1''' <br />
| style="background-color:lightblue" | '''2''': Potatoe<br />
| style="background-color:lightblue" | '''3''': Couch<br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | '''4''': <abbr title="game console">Con 2</abbr><br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | '''5''': <abbr title="game console">Con 1</abbr><br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | '''6''': [[Raspberry_Pi#RPi_XBMC|Media]]<br />
| style="background-color:yellow" | '''7''': [[Cisco_79xx#7905|VoIP]]<br />
| style="background-color:lightgreen" | '''8''': [[#trunks|Trunk 3]]<br />
|}<br />
<br />
==== hACKswitch ====<br />
{| class="wikitable switchtable"<br />
| VLAN<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:yellow" | 2u<br />
| style="background-color:yellow" | 2u<br />
| style="background-color:lightgreen" | trunk<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | 2u<br />
|-<br />
| Port<br />
| style="background-color:lightblue" | '''1''' <br />
| style="background-color:lightblue" | '''3'''<br />
| style="background-color:lightblue" | '''5'''<br />
| style="background-color:lightblue" | '''7'''<br />
| style="background-color:yellow" | '''9''': [[Telephone_system:Analog_Telephone_Adapter#Linksys_PAP2T|VoIP]]<br />
| style="background-color:yellow" | '''11''': [[Thomson_ST2030|VoIP]]<br />
| style="background-color:lightgreen" | '''13''': [[#trunks|Trunk 3]]<br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | '''15''': Printer<br />
|-<br />
| Port<br />
| style="background-color:lightblue" | '''2''' <br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | '''4''': [[ACKade]]<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | '''6''': Solder<br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | '''8''': [[3D_printer|3D PC]]<br />
| style="background-color:orange" | '''10''': [[DMZ]]<br />
| style="background-color:orange" | '''12''': [[Spaceview|Cam]]<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightgreen 20px,lightgreen 40px)" | '''14''': [[VM_server|Srv T]]<br />
| style="background-color:lightgreen" | '''16''': [[#trunks|Trunk 1]]<br />
|-<br />
| VLAN<br />
| style="background-color:lightblue" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | 2u<br />
| style="background-color:orange" | 10u<br />
| style="background-color:orange" | 10u<br />
| style="background:repeating-linear-gradient(-45deg,lightgreen,lightgreen 20px,lightgray 20px,lightgray 40px)" | trunk<br />
| style="background-color:lightgreen" | trunk<br />
|}<br />
<br />
==== router ====<br />
<br />
{| class="wikitable switchtable"<br />
| VLAN<br />
| style="background-color:red" | 'WAN'<br />
|<br />
| style="background-color:lightgreen" | trunk<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
|-<br />
| Port<br />
| style="background-color:red" | '''WAN''' <br />
|<br />
| style="background-color:lightgreen" | '''1''': [[#trunks|Trunk 1]]<br />
| style="background-color:lightblue" | '''2'''<br />
| style="background-color:lightblue" | '''3'''<br />
| style="background-color:lightblue" | '''4'''<br />
|}<br />
<br />
==== Legend ====<br />
{|<br />
|- style="background-color:red;color:white;border:none"<br />
| WAN / unsecured<br />
|- style="background-color:orange;color:white"<br />
| DMZ / VPN<br />
|- style="background-color:yellow"<br />
| VoIP / telephony<br />
|- style="background-color:lightgreen"<br />
| trunk / backbone<br />
|- style="background-color:lightblue"<br />
| LAN<br />
|- style="background-color:purple;color:white"<br />
| test / special<br />
|- style="background-color:lightgray"<br />
| servers / core infra<br />
|- style="background-color:black;color:white"<br />
| wireless / guest<br />
|}<br />
<br />
<br />
== notes ==<br />
* [[user:Da_Syntax|Da Syntax]] and [[User:Xopr|xopr]] redid ''all'' the cabling on February 13th. All static cables are labeled on both sides, tucked away thoroughly, trimmed, and plugged in documented ports. Please keep the label on (and updated) at all times. You can find the label-tywraps in the network-connector box in the [[stACKspace]].<br />
*: Want to connect something? No problem; just pick any free port (except port 10, that won't work).<br />
*: Want a permanent connection? Should be no problem; make sure [[user:Da_Syntax|Da Syntax]] or [[User:Xopr|xopr]] is informed (via the {{discuss}}, for example, so we can reach consensus).<br />
* Currently, the [[slACKspace]] has three available connections: one at the switch and two above the white couch (labeled 'couch' and 'potatoe')<br />
<br />
== also see ==<br />
* [[switches]]<br />
<br />
[[Category:Information]][[Category:Network]]</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Network_information&diff=5850Network information2016-02-22T09:24:58Z<p>Da Syntax: </p>
<hr />
<div>== synopsis ==<br />
I've ([[User:Xopr|xopr]]) created this network information page mainly because no-one knows the current setup by heart, and I'm preparing for [[Congress everywhere]] without breaking people's [https://duckduckgo.com/?q=kittens&ia=images precious downloads]..<br />
<br />
== current setup ==<br />
<br />
=== trunks ===<br />
# [[#hACKswitch|hACKswitch]] - [[#router|router]]<br />
# hACKspace - slACKspace (unused)<br />
# [[#hACKswitch|hACKswitch]] - [[#slACKswitch|slACKswitch]]<br />
<br />
=== VLANs ===<br />
:2. LAN<br />
:10. DMZ<br />
:11. VoIP<br />
<br />
=== connections ===<br />
==== slACKswitch ====<br />
<br />
{| class="wikitable switchtable"<br />
| VLAN<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | 2u<br />
| style="background-color:yellow" | 2u<br />
| style="background-color:lightgreen" | trunk<br />
|-<br />
| Port<br />
| style="background-color:lightblue" | '''1''' <br />
| style="background-color:lightblue" | '''2''': Potatoe<br />
| style="background-color:lightblue" | '''3''': Couch<br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | '''4''': <abbr title="game console">Con 2</abbr><br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | '''5''': <abbr title="game console">Con 1</abbr><br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | '''6''': [[Raspberry_Pi#RPi_XBMC|Media]]<br />
| style="background-color:yellow" | '''7''': [[Cisco_79xx#7905|VoIP]]<br />
| style="background-color:lightgreen" | '''8''': [[#trunks|Trunk 3]]<br />
|}<br />
<br />
==== hACKswitch ====<br />
{| class="wikitable switchtable"<br />
| VLAN<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:yellow" | 2u<br />
| style="background-color:yellow" | 2u<br />
| style="background-color:lightgreen" | trunk<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | 2u<br />
|-<br />
| Port<br />
| style="background-color:lightblue" | '''1''' <br />
| style="background-color:lightblue" | '''3'''<br />
| style="background-color:lightblue" | '''5'''<br />
| style="background-color:lightblue" | '''7'''<br />
| style="background-color:yellow" | '''9''': [[Telephone_system:Analog_Telephone_Adapter#Linksys_PAP2T|VoIP]]<br />
| style="background-color:yellow" | '''11''': [[Thomson_ST2030|VoIP]]<br />
| style="background-color:lightgreen" | '''13''': [[#trunks|Trunk 3]]<br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | '''15''': Printer<br />
|-<br />
| Port<br />
| style="background-color:lightblue" | '''2''' <br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | '''4''': [[ACKade]]<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | '''6''': Solder<br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | '''8''': [[3D_printer|3D PC]]<br />
| style="background-color:orange" | '''10''': [[DMZ]]<br />
| style="background-color:orange" | '''12''': [[Spaceview|Cam]]<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightgreen 20px,lightgreen 40px)" | '''14''': [[VM_server|Srv T]]<br />
| style="background-color:lightgreen" | '''16''': [[#trunks|Trunk 1]]<br />
|-<br />
| VLAN<br />
| style="background-color:lightblue" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightblue,lightblue 20px,lightgray 20px,lightgray 40px)" | 2u<br />
| style="background:repeating-linear-gradient(-45deg,lightgray,lightgray 20px,lightblue 20px,lightblue 40px)" | 2u<br />
| style="background-color:orange" | 10u<br />
| style="background-color:orange" | 10u<br />
| style="background:repeating-linear-gradient(-45deg,lightgreen,lightgreen 20px,lightgray 20px,lightgray 40px)" | trunk<br />
| style="background-color:lightgreen" | trunk<br />
|}<br />
<br />
==== router ====<br />
<br />
{| class="wikitable switchtable"<br />
| VLAN<br />
| style="background-color:red" | 'WAN'<br />
|<br />
| style="background-color:lightgreen" | trunk<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
| style="background-color:lightblue" | 2u<br />
|-<br />
| Port<br />
| style="background-color:red" | '''WAN''' <br />
|<br />
| style="background-color:lightgreen" | '''1''': [[#trunks|Trunk 1]]<br />
| '''2'''<br />
| '''3'''<br />
| '''4'''<br />
|}<br />
<br />
==== Legend ====<br />
{|<br />
|- style="background-color:red;color:white;border:none"<br />
| WAN / unsecured<br />
|- style="background-color:orange;color:white"<br />
| DMZ / VPN<br />
|- style="background-color:yellow"<br />
| VoIP / telephony<br />
|- style="background-color:lightgreen"<br />
| trunk / backbone<br />
|- style="background-color:lightblue"<br />
| LAN<br />
|- style="background-color:purple;color:white"<br />
| test / special<br />
|- style="background-color:lightgray"<br />
| servers / core infra<br />
|- style="background-color:black;color:white"<br />
| wireless / guest<br />
|}<br />
<br />
<br />
== notes ==<br />
* [[user:Da_Syntax|Da Syntax]] and [[User:Xopr|xopr]] redid ''all'' the cabling on February 13th. All static cables are labeled on both sides, tucked away thoroughly, trimmed, and plugged in documented ports. Please keep the label on (and updated) at all times. You can find the label-tywraps in the network-connector box in the [[stACKspace]].<br />
*: Want to connect something? No problem; just pick any free port (except port 10, that won't work).<br />
*: Want a permanent connection? Should be no problem; make sure [[user:Da_Syntax|Da Syntax]] or [[User:Xopr|xopr]] is informed (via the {{discuss}}, for example, so we can reach consensus).<br />
* Currently, the [[slACKspace]] has three available connections: one at the switch and two above the white couch (labeled 'couch' and 'potatoe')<br />
<br />
== also see ==<br />
* [[switches]]<br />
<br />
[[Category:Information]][[Category:Network]]</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=WiFi_to_433/IR_bridge&diff=5790WiFi to 433/IR bridge2016-02-08T14:48:57Z<p>Da Syntax: </p>
<hr />
<div>{{Project<br />
|State=Active<br />
|Members=Da Syntax<br />
|Description=Building a WiFi to 433MHz and ir bridge for cheap domotics<br />
}}<br />
Used hardware for a test setup<br />
* Arduino Uno<br />
* ESP8266 module<br />
* 433MHz transmitter (http://www.hobbyelectronica.nl/product/433mhz-rf-ontvanger-zender-set/)<br />
* Cheap 433MHz remote switches from the Action. Working with the following lib https://bitbucket.org/fuzzillogic/433mhzforarduino/wiki/Home<br />
<br />
The test setup build with the above mentioned parts worked. The next step is to get rid of the Arduino and add an IR led so it can control my amplifier. When that is working I will try to build a cleaner setup and document it here.</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=WiFi_to_433/IR_bridge&diff=5789WiFi to 433/IR bridge2016-02-08T14:47:59Z<p>Da Syntax: </p>
<hr />
<div>{{Project<br />
|State=Active<br />
|Members=Da Syntax<br />
|Description=Building a WiFi to 433MHz and ir bridge for cheap domotics<br />
}}<br />
Used hardware for a test setup<br />
* Arduino Uno<br />
* ESP8266 module<br />
* 433MHz transmitter (http://www.hobbyelectronica.nl/product/433mhz-rf-ontvanger-zender-set/)<br />
* Cheap 433MHz remote switches from the Action. Working with the following lib https://bitbucket.org/fuzzillogic/433mhzforarduino/wiki/Home<br />
<br />
The test setup build with the above mentioned parts worked. The next step is to get rid of the Arduino and add an IR led so it can control my ampifier. When that is working I will try to build a cleaner setup and document it here.</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=WiFi_to_433/IR_bridge&diff=5788WiFi to 433/IR bridge2016-02-08T14:41:43Z<p>Da Syntax: </p>
<hr />
<div>{{Project<br />
|State=Active<br />
|Members=Da Syntax<br />
|Description=Building a WiFi to 433MHz and ir bridge for cheap domotics<br />
}}<br />
Used hardware for a test setup<br />
* Arduino Uno<br />
* ESP8266 module<br />
* 433MHz transmitter (http://www.hobbyelectronica.nl/product/433mhz-rf-ontvanger-zender-set/)<br />
<br />
The test setup build with the above mentioned parts worked. The next step is to get rid of the Arduino and add an IR led so it can control my ampifier. When that is working I will try to build a cleaner setup and document it here.</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=WiFi_to_433/IR_bridge&diff=5787WiFi to 433/IR bridge2016-02-08T14:41:20Z<p>Da Syntax: Created page with "{{Project |State=Active |Members=Da Syntax |Description=Building a WiFi to 433MHz and ir bridge for cheap domotics }} Used hardware for a test setup - Arduino Uno - ESP8266..."</p>
<hr />
<div>{{Project<br />
|State=Active<br />
|Members=Da Syntax<br />
|Description=Building a WiFi to 433MHz and ir bridge for cheap domotics<br />
}}<br />
Used hardware for a test setup<br />
- Arduino Uno<br />
- ESP8266 module<br />
- 433MHz transmitter (http://www.hobbyelectronica.nl/product/433mhz-rf-ontvanger-zender-set/)<br />
<br />
The test setup build with the above mentioned parts worked. The next step is to get rid of the Arduino and add an IR led so it can control my ampifier. When that is working I will try to build a cleaner setup and document it here.</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Nieuwjaarswok/LAN&diff=5786Nieuwjaarswok/LAN2016-02-06T15:53:12Z<p>Da Syntax: </p>
<hr />
<div>{{Event<br />
|State=Planned<br />
|Start date=2016/02/13<br />
|Start time=17:00<br />
|End date=2016/02/14<br />
|Contact=Da Syntax<br />
|Kind=Party<br />
|Location=ACKspace<br />
|URL=http://parkstad-plaza.nl/<br />
|Fee=€27,95<br />
|Short description=Wokken -> Lannen<br />
}}<br />
Het idee is om rond 17:00 te verzamelen bij de space en vanuit daar samen door te gaan naar de Parkstad Plaza wok bij het Roda stadion. De onderstaande lijst is de definitieve lijst die aangehouden wordt bij het reserveren. Laat ook even weten of je wilt rijden van de space naar de wok en terug, of dat iemand anders eventueel in jouw auto mag rijden.<br />
<br />
{| cellspacing="1" cellpadding="1" border="1" align="center" summary="New Years Noms availability" class="wikitable sortable"<br />
|-<br />
! scope="col" | Wie <br />
! scope="col" | Wok<br />
! scope="col" | LAN<br />
! scope="col" | wil rijden<br />
! scope="col" | heeft auto<br />
|-<br />
! scope="row" | [[User:Prodigity|Prodigity]] <br />
| Ja <br />
| Ja <br />
| ? <br />
| ?<br />
|-<br />
! scope="row" | [[User:Computer1up|Computer1up]] <br />
| Ja <br />
| Ja (tot 00:00)<br />
| Willen wel... (als ik een rijbewijs had)<br />
| Nope<br />
|-<br />
! scope="row" | [[User:Da Syntax|Da Syntax]] <br />
| Ja <br />
| Ja <br />
| Als het moet <br />
| Ja<br />
|-<br />
! scope = "row" | [[user:Stuiterveer|stuiterveer]]<br />
| Ja<br />
| Ja<br />
| Ja, kunnen is een tweede<br />
| Nee<br />
|-<br />
! scope="row" | [[User:Wolkje|Wolkje]] <br />
| Ja <br />
| Misschien <br />
| Ja, plaats voor 4 personen<br />
| Ja<br />
|-<br />
! scope="row" | [[User:Vicarious|Vicarious]] <br />
| Ja <br />
| Misschien <br />
| Ja, plaats voor 4 personen<br />
| Ja<br />
|-<br />
! scope="row" | [[User:Xopr|xopr]]<br />
| Ja <br />
| Ja (hardware dependant)<br />
| Als het moet<br />
| Nee<br />
|}<br />
<br />
=== wok ===<br />
We gaan wokken bij Parkstad Plaza. Dit kost €27.95 voor 3 uur wokken. Bij dat bedrag zit fris, koffie en thee inbegrepen. Alcoholische dranken zijn verkrijgbaar met losse muntjes van €1 per stuk. <br />
<br />
=== LAN ===<br />
Games: (voeg hier spellen aan toe die je wilt spelen)<br />
* Age of Empires 2<br />
* Unreal Tournament 2004<br />
* Call of Duty<br />
* Quake III - Urban Terror mod<br />
* Open Arena (Computer1up)<br />
* Track Mania Nations Forever (Computer1up)<br />
* Flatout 2 (Da Syntax)<br />
* openTTD (Da Syntax)<br />
<br />
'Benodigdheden' staan op de NAS (\\NASI\ACKstorage\WokLAN party)</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Talk:Switches&diff=5780Talk:Switches2016-02-04T19:17:26Z<p>Da Syntax: </p>
<hr />
<div>= Notes =<br />
http://tweakers.net/pricewatch/394724/zyxel-gs1900-24e.html<br />
<br />
Interessant?<br />
<br />
= Candidate long-list =<br />
== Netgear ==<br />
Main features:<br />
* Gbit<br />
* fanless(external power supply, some even have one or two-way PoE)<br />
* VLAN support<br />
* IGMP snooping support<br />
* (semi)managed, some with a webpage, others with a (crappy) adobe air tool ([https://appdb.winehq.org/objectManager.php?sClass=application&iId=13825 works in wine] and is [http://www.linux-magazin.de/Ausgaben/2012/10/Switch reverse engineered], with a [https://github.com/tabacha/ProSafeLinux linux alternative] made available)<br />
<br />
=== Netgear Prosafe Gigabit Plus GS116E ===<br />
* comes at around &euro; 105,-<br />
* see [http://tweakers.net/pricewatch/132756/netgear-prosafe-gigabit-plus-gs116e.html pricewatch], [http://www.netgear.com/business/products/switches/unmanaged-plus/gigabit-plus-switch.aspx#tab-features series features] and [http://www.downloads.netgear.com/files/GDC/datasheet/en/ProSAFE_Web_Managed_Switches_DS.pdf datasheet]<br />
<br />
=== Netgear Prosafe Gigabit Plus GS108Ev3 ===<br />
* comes at around &euro; 45,-<br />
* see [http://tweakers.net/pricewatch/424304/netgear-prosafe-gigabit-plus-gs108ev3.html pricewatch], [http://www.netgear.com/business/products/switches/unmanaged-plus/gigabit-plus-switch.aspx#tab-features series features] and [http://www.downloads.netgear.com/files/GDC/datasheet/en/ProSAFE_Web_Managed_Switches_DS.pdf datasheet]<br />
<br />
== D-Link ==<br />
Main features:<br />
* Gbit<br />
* fanless<br />
* VLAN support<br />
* IGMP snooping support<br />
* (semi)managed, web interface or some windows tool<br />
<br />
=== D-Link DGS-1100-16 ===<br />
* comes at around &euro; 85,-<br />
* see [http://tweakers.net/pricewatch/290114/d-link-dgs-1100-16.html pricewatch], [http://us.dlink.com/products/business-solutions/easysmart-16-port-gigabit-switch/ series features] and [http://content.us.dlink.com/wp-content/uploads/2016/02/DGS-1100-SERIES_REVAB_DATASHEET_2.00_EN_US.pdf datasheet]<br />
<br />
=== D-Link DGS-1100-08 ===<br />
* comes at around &euro; 50,-<br />
* see [http://tweakers.net/pricewatch/371040/d-link-dgs-1100-08.html pricewatch], [http://us.dlink.com/products/business-solutions/easysmart-8-port-gigabit-switch/ series features] and [http://content.us.dlink.com/wp-content/uploads/2016/02/DGS-1100-SERIES_REVAB_DATASHEET_2.00_EN_US.pdf datasheet]<br />
<br />
<br />
== Comparison table ==<br />
{| class="wikitable"<br />
!<br />
! NG GS108E<br />
! NG GS116Ev2<br />
! DL DGS-1100-08<br />
! DL DGS-1100-16<br />
|-<br />
! style="text-align:right" | Gbit ports<br />
| 8<br />
| 16<br />
| 8<br />
| 16<br />
|-<br />
! style="text-align:right" | MAC entries<br />
| 4k<br />
| 16K<br />
| 8k<br />
| 8k<br />
|-<br />
! style="text-align:right" | Buffer size<br />
| 192kB<br />
| 6Mb or 768KB<br />
| 2Mb<br />
| 192kb<br />
|-<br />
! style="text-align:right" | Max VLANs<br />
| 32<br />
| 100<br />
| 32<br />
| 128<br />
|-<br />
! style="text-align:right" | Max LAGs (members)<br />
| n/a<br />
| 8 (2-4)<br />
| n/a<br />
| 8 (8)<br />
|-<br />
! style="text-align:right" | IGMP snooping<br />
| v1, v2 & v3<br />
| v1, v2 & v3<br />
| v1, v2<br />
| v1, v2 & v3<br />
|-<br />
! style="text-align:right" | Supported Multicast groups<br />
| 128<br />
| 64<br />
| 32<br />
| 64<br />
|-<br />
! style="text-align:right" | IGMP snooping queries<br />
| no<br />
| yes<br />
| no<br />
| yes<br />
|-<br />
! style="text-align:right" | TOS<br />
| no<br />
| yes<br />
| ?<br />
| ?<br />
|-<br />
! style="text-align:right" | Max source ports (many to 1)<br />
| 7<br />
| 15<br />
| ?<br />
| ?<br />
|-<br />
! style="text-align:right" | Power supply<br />
| 12v/1A ext.<br />
| 12v/1A ext.<br />
| ext<br />
| int<br />
|-<br />
! style="text-align:right" | Max consumption (W)<br />
| 4.5<br />
| 10<br />
| 4.89<br />
| 9.31<br />
|-<br />
! style="text-align:right" | Fans<br />
| 0<br />
| 0<br />
| 0<br />
| 0<br />
|-<br />
! style="text-align:right" | MTBF<br />
| 5,701,574<br />
| 504,993<br />
| 503,585<br />
| 2,827,541<br />
|-<br />
! style="text-align:right" | Weight (kg)<br />
| 0.55<br />
| 0.84<br />
| 0.42<br />
| 1.53<br />
|-<br />
! style="text-align:right" | Dimensions (WxDxH)mm<br />
| 158x105x27<br />
| 286x101x26<br />
| 171x98x28<br />
| 280x180x44<br />
|-<br />
! style="text-align:right" | Bandwidth<br />
| 16 GBps<br />
| 32 GBps<br />
| 16 GBps<br />
| 32 GBps<br />
|}</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Talk:Switches&diff=5779Talk:Switches2016-02-04T19:15:51Z<p>Da Syntax: </p>
<hr />
<div>= Notes =<br />
http://tweakers.net/pricewatch/394724/zyxel-gs1900-24e.html<br />
<br />
Interessant?<br />
<br />
= Candidate long-list =<br />
== Netgear ==<br />
Main features:<br />
* Gbit<br />
* fanless(external power supply, some even have one or two-way PoE)<br />
* VLAN support<br />
* IGMP snooping support<br />
* (semi)managed, some with a webpage, others with a (crappy) adobe air tool ([https://appdb.winehq.org/objectManager.php?sClass=application&iId=13825 works in wine] and is [http://www.linux-magazin.de/Ausgaben/2012/10/Switch reverse engineered], with a [https://github.com/tabacha/ProSafeLinux linux alternative] made available)<br />
<br />
=== Netgear Prosafe Gigabit Plus GS116E ===<br />
* comes at around &euro; 105,-<br />
* see [http://tweakers.net/pricewatch/132756/netgear-prosafe-gigabit-plus-gs116e.html pricewatch], [http://www.netgear.com/business/products/switches/unmanaged-plus/gigabit-plus-switch.aspx#tab-features series features] and [http://www.downloads.netgear.com/files/GDC/datasheet/en/ProSAFE_Web_Managed_Switches_DS.pdf datasheet]<br />
<br />
=== Netgear Prosafe Gigabit Plus GS108Ev3 ===<br />
* comes at around &euro; 45,-<br />
* see [http://tweakers.net/pricewatch/424304/netgear-prosafe-gigabit-plus-gs108ev3.html pricewatch], [http://www.netgear.com/business/products/switches/unmanaged-plus/gigabit-plus-switch.aspx#tab-features series features] and [http://www.downloads.netgear.com/files/GDC/datasheet/en/ProSAFE_Web_Managed_Switches_DS.pdf datasheet]<br />
<br />
== D-Link ==<br />
Main features:<br />
* Gbit<br />
* fanless<br />
* VLAN support<br />
* IGMP snooping support<br />
* (semi)managed, web interface or some windows tool<br />
<br />
=== D-Link DGS-1100-16 ===<br />
* comes at around &euro; 85,-<br />
* see [http://tweakers.net/pricewatch/290114/d-link-dgs-1100-16.html pricewatch], [http://us.dlink.com/products/business-solutions/easysmart-16-port-gigabit-switch/ series features] and [http://content.us.dlink.com/wp-content/uploads/2016/02/DGS-1100-SERIES_REVAB_DATASHEET_2.00_EN_US.pdf datasheet]<br />
<br />
=== D-Link DGS-1100-08 ===<br />
* comes at around &euro; 50,-<br />
* see [http://tweakers.net/pricewatch/371040/d-link-dgs-1100-08.html pricewatch], [http://us.dlink.com/products/business-solutions/easysmart-8-port-gigabit-switch/ series features] and [http://content.us.dlink.com/wp-content/uploads/2016/02/DGS-1100-SERIES_REVAB_DATASHEET_2.00_EN_US.pdf datasheet]<br />
<br />
{| class="wikitable"<br />
!<br />
! NG GS108E<br />
! NG GS116Ev2<br />
! DL DGS-1100-08<br />
! DL DGS-1100-16<br />
|-<br />
! style="text-align:right" | Gbit ports<br />
| 8<br />
| 16<br />
| 8<br />
| 16<br />
|-<br />
! style="text-align:right" | MAC entries<br />
| 4k<br />
| 16K<br />
| 8k<br />
| 8k<br />
|-<br />
! style="text-align:right" | Buffer size<br />
| 192kB<br />
| 6Mb or 768KB<br />
| 2Mb<br />
| 192kb<br />
|-<br />
! style="text-align:right" | Max VLANs<br />
| 32<br />
| 100<br />
| 32<br />
| 128<br />
|-<br />
! style="text-align:right" | Max LAGs (members)<br />
| n/a<br />
| 8 (2-4)<br />
| n/a<br />
| 8 (8)<br />
|-<br />
! style="text-align:right" | IGMP snooping<br />
| v1, v2 & v3<br />
| v1, v2 & v3<br />
| v1, v2<br />
| v1, v2 & v3<br />
|-<br />
! style="text-align:right" | Supported Multicast groups<br />
| 128<br />
| 64<br />
| 32<br />
| 64<br />
|-<br />
! style="text-align:right" | IGMP snooping queries<br />
| no<br />
| yes<br />
| no<br />
| yes<br />
|-<br />
! style="text-align:right" | TOS<br />
| no<br />
| yes<br />
| ?<br />
| ?<br />
|-<br />
! style="text-align:right" | Max source ports (many to 1)<br />
| 7<br />
| 15<br />
| ?<br />
| ?<br />
|-<br />
! style="text-align:right" | Power supply<br />
| 12v/1A ext.<br />
| 12v/1A ext.<br />
| ext<br />
| int<br />
|-<br />
! style="text-align:right" | Max consumption (W)<br />
| 4.5<br />
| 10<br />
| 4.89<br />
| 9.31<br />
|-<br />
! style="text-align:right" | Fans<br />
| 0<br />
| 0<br />
| 0<br />
| 0<br />
|-<br />
! style="text-align:right" | MTBF<br />
| 5,701,574<br />
| 504,993<br />
| 503,585<br />
| 2,827,541<br />
|-<br />
! style="text-align:right" | Weight (kg)<br />
| 0.55<br />
| 0.84<br />
| 0.42<br />
| 1.53<br />
|-<br />
! style="text-align:right" | Dimensions (WxDxH)mm<br />
| 158x105x27<br />
| 286x101x26<br />
| 171x98x28<br />
| 280x180x44<br />
|-<br />
! style="text-align:right" | Bandwidth<br />
| 16 GBps<br />
| 32 GBps<br />
| 16 GBps<br />
| 32 GBps<br />
|}</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Talk:Switches&diff=5778Talk:Switches2016-02-04T19:11:26Z<p>Da Syntax: </p>
<hr />
<div>= Notes =<br />
http://tweakers.net/pricewatch/394724/zyxel-gs1900-24e.html<br />
<br />
Interessant?<br />
<br />
= Candidate long-list =<br />
== Netgear ==<br />
Main features:<br />
* Gbit<br />
* fanless(external power supply, some even have one or two-way PoE)<br />
* VLAN support<br />
* IGMP snooping support<br />
* (semi)managed, some with a webpage, others with a (crappy) adobe air tool ([https://appdb.winehq.org/objectManager.php?sClass=application&iId=13825 works in wine] and is [http://www.linux-magazin.de/Ausgaben/2012/10/Switch reverse engineered], with a [https://github.com/tabacha/ProSafeLinux linux alternative] made available)<br />
<br />
== D-Link ==<br />
Main features:<br />
* Gbit<br />
* fanless<br />
* VLAN support<br />
* IGMP snooping support<br />
* (semi)managed, web interface or some windows tool<br />
{| class="wikitable"<br />
!<br />
! NG GS108E<br />
! NG GS116Ev2<br />
! DL DGS-1100-08<br />
! DL DGS-1100-16<br />
|-<br />
! style="text-align:right" | Gbit ports<br />
| 8<br />
| 16<br />
| 8<br />
| 16<br />
|-<br />
! style="text-align:right" | MAC entries<br />
| 4k<br />
| 16K<br />
| 8k<br />
| 8k<br />
|-<br />
! style="text-align:right" | Buffer size<br />
| 192kB<br />
| 6Mb or 768KB<br />
| 2Mb<br />
| 192kb<br />
|-<br />
! style="text-align:right" | Max VLANs<br />
| 32<br />
| 100<br />
| 32<br />
| 128<br />
|-<br />
! style="text-align:right" | Max LAGs (members)<br />
| n/a<br />
| 8 (2-4)<br />
| n/a<br />
| 8 (8)<br />
|-<br />
! style="text-align:right" | IGMP snooping<br />
| v1, v2 & v3<br />
| v1, v2 & v3<br />
| v1, v2<br />
| v1, v2 & v3<br />
|-<br />
! style="text-align:right" | Supported Multicast groups<br />
| 128<br />
| 64<br />
| 32<br />
| 64<br />
|-<br />
! style="text-align:right" | IGMP snooping queries<br />
| no<br />
| yes<br />
| no<br />
| yes<br />
|-<br />
! style="text-align:right" | TOS<br />
| no<br />
| yes<br />
| ?<br />
| ?<br />
|-<br />
! style="text-align:right" | Max source ports (many to 1)<br />
| 7<br />
| 15<br />
| ?<br />
| ?<br />
|-<br />
! style="text-align:right" | Power supply<br />
| 12v/1A ext.<br />
| 12v/1A ext.<br />
| ext<br />
| int<br />
|-<br />
! style="text-align:right" | Max consumption (W)<br />
| 4.5<br />
| 10<br />
| 4.89<br />
| 9.31<br />
|-<br />
! style="text-align:right" | Fans<br />
| 0<br />
| 0<br />
| 0<br />
| 0<br />
|-<br />
! style="text-align:right" | MTBF<br />
| 5,701,574<br />
| 504,993<br />
| 503,585<br />
| 2,827,541<br />
|-<br />
! style="text-align:right" | Weight (kg)<br />
| 0.55<br />
| 0.84<br />
| 0.42<br />
| 1.53<br />
|-<br />
! style="text-align:right" | Dimensions (WxDxH)mm<br />
| 158x105x27<br />
| 286x101x26<br />
| 171x98x28<br />
| 280x180x44<br />
|-<br />
! style="text-align:right" | Bandwidth<br />
| 16 GBps<br />
| 32 GBps<br />
| 16 GBps<br />
| 32 GBps<br />
|}<br />
<br />
<br />
=== Netgear Prosafe Gigabit Plus GS116E ===<br />
* comes at around &euro; 105,-<br />
* see [http://tweakers.net/pricewatch/132756/netgear-prosafe-gigabit-plus-gs116e.html pricewatch], [http://www.netgear.com/business/products/switches/unmanaged-plus/gigabit-plus-switch.aspx#tab-features series features] and [http://www.downloads.netgear.com/files/GDC/datasheet/en/ProSAFE_Web_Managed_Switches_DS.pdf datasheet]<br />
<br />
=== Netgear Prosafe Gigabit Plus GS108Ev3 ===<br />
* comes at around &euro; 45,-<br />
* see [http://tweakers.net/pricewatch/424304/netgear-prosafe-gigabit-plus-gs108ev3.html pricewatch], [http://www.netgear.com/business/products/switches/unmanaged-plus/gigabit-plus-switch.aspx#tab-features series features] and [http://www.downloads.netgear.com/files/GDC/datasheet/en/ProSAFE_Web_Managed_Switches_DS.pdf datasheet]<br />
<br />
=== D-Link DGS-1100-16 ===<br />
* comes at around &euro; 85,-<br />
* see [http://tweakers.net/pricewatch/290114/d-link-dgs-1100-16.html pricewatch], [http://us.dlink.com/products/business-solutions/easysmart-16-port-gigabit-switch/ series features] and [http://content.us.dlink.com/wp-content/uploads/2016/02/DGS-1100-SERIES_REVAB_DATASHEET_2.00_EN_US.pdf datasheet]</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Talk:Switches&diff=5777Talk:Switches2016-02-04T18:36:28Z<p>Da Syntax: </p>
<hr />
<div>= Notes =<br />
http://tweakers.net/pricewatch/394724/zyxel-gs1900-24e.html<br />
<br />
Interessant?<br />
<br />
= Candidate long-list =<br />
== Netgear ==<br />
Main features:<br />
* Gbit<br />
* fanless (external power supply, some even have one or two-way PoE<br />
* VLAN support<br />
* IGMP snooping support<br />
* (semi)managed, some with a webpage, others with a (crappy) adobe air tool ([https://appdb.winehq.org/objectManager.php?sClass=application&iId=13825 works in wine] and is [http://www.linux-magazin.de/Ausgaben/2012/10/Switch reverse engineered], with a [https://github.com/tabacha/ProSafeLinux linux alternative] made available)<br />
<br />
{| class="wikitable"<br />
!<br />
! NG GS108E<br />
! NG GS116Ev2<br />
! DL DGS-1100-08<br />
! DL DGS-1100-16<br />
|-<br />
! style="text-align:right" | Gbit ports<br />
| 8<br />
| 16<br />
| 8<br />
| 16<br />
|-<br />
! style="text-align:right" | MAC entries<br />
| 4k<br />
| 16K<br />
| 8k<br />
| 8k<br />
|-<br />
! style="text-align:right" | Buffer size<br />
| 192kB<br />
| 6Mb or 768KB<br />
| 2Mb<br />
| 192kb<br />
|-<br />
! style="text-align:right" | Max VLANs<br />
| 32<br />
| 100<br />
| 32<br />
| 128<br />
|-<br />
! style="text-align:right" | Max LAGs (members)<br />
| n/a<br />
| 8 (2-4)<br />
| n/a<br />
| 8 (8)<br />
|-<br />
! style="text-align:right" | IGMP snooping<br />
| v1, v2 & v3<br />
| v1, v2 & v3<br />
| v1, v2<br />
| v1, v2 & v3<br />
|-<br />
! style="text-align:right" | Supported Multicast groups<br />
| 128<br />
| 64<br />
| 32<br />
| 64<br />
|-<br />
! style="text-align:right" | IGMP snooping queries<br />
| no<br />
| yes<br />
| no<br />
| yes<br />
|-<br />
! style="text-align:right" | TOS<br />
| no<br />
| yes<br />
| ?<br />
| ?<br />
|-<br />
! style="text-align:right" | Max source ports (many to 1)<br />
| 7<br />
| 15<br />
| ?<br />
| ?<br />
|-<br />
! style="text-align:right" | Power supply<br />
| 12v/1A ext.<br />
| 12v/1A ext.<br />
| ext<br />
| int<br />
|-<br />
! style="text-align:right" | Max consumption (W)<br />
| 4.5<br />
| 10<br />
| 4.89<br />
| 9.31<br />
|-<br />
! style="text-align:right" | Fans<br />
| 0<br />
| 0<br />
| 0<br />
| 0<br />
|-<br />
! style="text-align:right" | MTBF<br />
| 5,701,574<br />
| 504,993<br />
| 503,585<br />
| 2,827,541<br />
|-<br />
! style="text-align:right" | Weight (kg)<br />
| 0.55<br />
| 0.84<br />
| 0.42<br />
| 1.53<br />
|-<br />
! style="text-align:right" | Dimensions (WxDxH)mm<br />
| 158x105x27<br />
| 286x101x26<br />
| 171x98x28<br />
| 280x180x44<br />
|-<br />
! style="text-align:right" | Bandwidth<br />
| 16 GBps<br />
| 32 GBps<br />
| 16 GBps<br />
| 32 GBps<br />
|}<br />
<br />
<br />
=== Netgear Prosafe Gigabit Plus GS116E ===<br />
* comes at around &euro; 105,-<br />
* see [http://tweakers.net/pricewatch/132756/netgear-prosafe-gigabit-plus-gs116e.html pricewatch], [http://www.netgear.com/business/products/switches/unmanaged-plus/gigabit-plus-switch.aspx#tab-features series features] and [http://www.downloads.netgear.com/files/GDC/datasheet/en/ProSAFE_Web_Managed_Switches_DS.pdf datasheet]<br />
<br />
=== Netgear Prosafe Gigabit Plus GS108Ev3 ===<br />
* comes at around &euro; 45,-<br />
* see [http://tweakers.net/pricewatch/424304/netgear-prosafe-gigabit-plus-gs108ev3.html pricewatch], [http://www.netgear.com/business/products/switches/unmanaged-plus/gigabit-plus-switch.aspx#tab-features series features] and [http://www.downloads.netgear.com/files/GDC/datasheet/en/ProSAFE_Web_Managed_Switches_DS.pdf datasheet]</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=D-Link_switches&diff=5764D-Link switches2016-02-02T21:16:48Z<p>Da Syntax: </p>
<hr />
<div>{{Project<br />
|State=Active<br />
|Members=Da Syntax, Xopr<br />
}}<br />
Do some research and shopping for a new set of switches.<br />
<br />
We want to buy at least 2 of them. One for the hackspace and one for the slackspace.<br />
<br />
Feel free to edit this page to add suggestions or requirements.<br />
<br />
=== Requirements ===<br />
* Gigabit<br />
* Managed with vlan support<br />
* Hackspace: at least 16 ports. slackspace at least 8 port<br />
<br />
=== Bonus points ===<br />
* No fans/silent operation<br />
* No proprietary tools for configuration, maybe web interface<br />
<br />
=== Shortlist ===</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=ACKsesspoint&diff=5760ACKsesspoint2016-01-31T16:58:13Z<p>Da Syntax: </p>
<hr />
<div>{{Project<br />
|State=Active<br />
|Members=Da Syntax, xopr, Stuiterveer<br />
|Description=Create an all-in-one accesspoint/router<br />
}}<br />
=== Problem ===<br />
<br />
On [[Science Hack Day 2013|hackday]] 1, we came across the problem that our internet connection was limited; one could only do http (80) and https (443). <br />
<br />
The rest (ssh, rdp, vnc, pptp, etc.) was blocked. <br />
<br />
=== Hack ===<br />
<br />
[[User:PsychiC|PsychiC]] and [[User:Da Syntax|Da Syntax]] bridged a laptop's wifi with a wired connection to an accesspoint. <br />
<br />
created a public accessible accesspoint which tunneled traffic over a VPN to end up in the network of ACKspace. <br />
<br />
=== update 2014/03/08 ===<br />
<br />
[[User:Xopr|Xopr]] bought a TP-Link TL703n, and 16MB flash + 64MB RAM. [[User:Da Syntax|Da_syntax]] soldered the flash: now we can install OpenVPN! <br />
<br />
=== update 2015/04/23 ===<br />
When working on [[spacenet]] used this router and threw the latest [[http://see.sl088.com/wiki/WR703_%E7%BC%96%E7%A8%8B%E5%99%A8%E5%9B%BA%E4%BB%B6#.E5.BD.93.E5.89.8D:SLboat_Mod_Build_20141024 firmware]] on the TL703n, which fixed WPA2-AES (enterprise, using RADIUS). It now runs OpenWrt Barrier Breaker 14.07<br />
<br />
=== update 2015/05/26 ===<br />
* Played some with OpenVPN (after having set-up [[spacenet]]), and came to the conclusion that RADIUS login is not going to work (certificate-ception problem). LDAP is not setup correctly yet, so I might do some manual administration there.<br />
* Have a [[GL-Inet]] now as well (had some trouble accessing it, but was able to flash OpenWrt on it. Makes a perfect candidate, since it has a LAN and WAN ethernet port.<br />
* Tested the TL703n on a 10400mAh powerbank (more or less forgot to unplug it), and it ran 36 hours (with approximately 25% capacity left) Makes a good set-up for [[hackers on a bike]].<br />
<br />
=== update 2016/01/30 ===<br />
* Stuiterveer, Xopr and Da_Syntax did a test run in the hostel during Fosdem.<br />
* Used open hotspot had a strict firewall. Only browsing was allowed, it injected ssl certs to be able to sniff the trafic. Almost all ports blocked, so no SSH.<br />
* Trying to run openVPN on tcp 443 failed. Session got killed after a minute.<br />
* In the end we got it running stable at UDP 53 (DNS).<br />
<br />
Image of the test setup. Wifi stick which connects the eeePC to the hotspot on the table, eeePC which runs openVPN on the floor, router which runs our WiFI ip also on the floor connected to eeePC.<br />
[[Image:Inet_setup.png|400px]]<br />
<br />
Early setup before cable management:<br />
[[Image:IMG_1283.JPG|400px]]<br />
<br />
=== (long term) solution ===<br />
<br />
The idea is: use a semi-fancy router to create a fully fledged mobile spacenet accesspoint.<br />
<br />
The router has to have: <br />
<br />
*dual radio, dual frequency (2.4GHz and 5GHz, WAN client, and LAN infrastructure) <br />
*vlan tagging (for routing multiple wired wan, might be optional) <br />
*nice bandwidth/throughput<br />
<br />
The functionality: <br />
<br />
*VPN tunnel to ACKspace <br />
*SpaceNet <br />
*Battery operated or battery backup, like in the [[Guerilla VoIP]] box.</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=ACKsesspoint&diff=5759ACKsesspoint2016-01-31T16:54:31Z<p>Da Syntax: </p>
<hr />
<div>{{Project<br />
|State=Active<br />
|Members=Da Syntax, xopr, stuiterveer<br />
|Description=Create an all-in-one accesspoint/router<br />
}}<br />
=== Problem ===<br />
<br />
On [[Science Hack Day 2013|hackday]] 1, we came across the problem that our internet connection was limited; one could only do http (80) and https (443). <br />
<br />
The rest (ssh, rdp, vnc, pptp, etc.) was blocked. <br />
<br />
=== Hack ===<br />
<br />
[[User:PsychiC|PsychiC]] and [[User:Da Syntax|Da Syntax]] bridged a laptop's wifi with a wired connection to an accesspoint. <br />
<br />
created a public accessible accesspoint which tunneled traffic over a VPN to end up in the network of ACKspace. <br />
<br />
=== update 2014/03/08 ===<br />
<br />
[[User:Xopr|Xopr]] bought a TP-Link TL703n, and 16MB flash + 64MB RAM. [[User:Da Syntax|Da_syntax]] soldered the flash: now we can install OpenVPN! <br />
<br />
=== update 2015/04/23 ===<br />
When working on [[spacenet]] used this router and threw the latest [[http://see.sl088.com/wiki/WR703_%E7%BC%96%E7%A8%8B%E5%99%A8%E5%9B%BA%E4%BB%B6#.E5.BD.93.E5.89.8D:SLboat_Mod_Build_20141024 firmware]] on the TL703n, which fixed WPA2-AES (enterprise, using RADIUS). It now runs OpenWrt Barrier Breaker 14.07<br />
<br />
=== update 2015/05/26 ===<br />
* Played some with OpenVPN (after having set-up [[spacenet]]), and came to the conclusion that RADIUS login is not going to work (certificate-ception problem). LDAP is not setup correctly yet, so I might do some manual administration there.<br />
* Have a [[GL-Inet]] now as well (had some trouble accessing it, but was able to flash OpenWrt on it. Makes a perfect candidate, since it has a LAN and WAN ethernet port.<br />
* Tested the TL703n on a 10400mAh powerbank (more or less forgot to unplug it), and it ran 36 hours (with approximately 25% capacity left) Makes a good set-up for [[hackers on a bike]].<br />
<br />
=== update 2016/01/30 ===<br />
* Stuiterveer, Xopr and Da_Syntax did a test run in the hostel during Fosdem.<br />
* Used open hotspot had a strict firewall. Only browsing was allowed, it injected ssl certs to be able to sniff the trafic. Almost all ports blocked, so no SSH.<br />
* Trying to run openVPN on tcp 443 failed. Session got killed after a minute.<br />
* In the end we got it running stable at UDP 53 (DNS).<br />
<br />
Image of the test setup. Wifi stick which connects the eeePC to the hotspot on the table, eeePC which runs openVPN on the floor, router which runs our WiFI ip also on the floor connected to eeePC.<br />
[[Image:Inet_setup.png|400px]]<br />
<br />
Early setup before cable management:<br />
[[Image:IMG_1283.JPG|400px]]<br />
<br />
=== (long term) solution ===<br />
<br />
The idea is: use a semi-fancy router to create a fully fledged mobile spacenet accesspoint.<br />
<br />
The router has to have: <br />
<br />
*dual radio, dual frequency (2.4GHz and 5GHz, WAN client, and LAN infrastructure) <br />
*vlan tagging (for routing multiple wired wan, might be optional) <br />
*nice bandwidth/throughput<br />
<br />
The functionality: <br />
<br />
*VPN tunnel to ACKspace <br />
*SpaceNet <br />
*Battery operated or battery backup, like in the [[Guerilla VoIP]] box.</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=BarSystem&diff=5758BarSystem2016-01-31T16:50:39Z<p>Da Syntax: </p>
<hr />
<div>{{Project<br />
|State=Stalled<br />
|Members=Multiple<br />
|Description=Building our own BarSystem<br />
}}<br />
= synopsis =<br />
Replace the existing (shell script) bar system with a more future proof and maintainable system that apart from letting users having an account, also helping us with inventory management and cash audits. The current system is more than two years old, hard to do maintenance on, and recently, the disk is starting to transform into an angle grinder.<br />
<br />
= design =<br />
Wild ideas and suggestions on the {{Discuss}}<br />
<br />
== hardware ==<br />
* M: cheap and disposable system hardware, like a Raspberry PI<br />
* M: barcode scanner (for products, automatic user login and/or administrative assistance)<br />
* M: keyboard input (for user login, administrative tasks)<br />
* S: multilingual (en/nl at least, de optional)<br />
* S: iButton reader (for secure automatic user login and/or administrative tasks)<br />
* C: touchscreen (for ease of use)<br />
* W: <br />
<br />
== database and backend ==<br />
* M: able to do transactions without having a registered username with ACKbar credit<br />
* M: able to make backups (and restores) easily<br />
* M: json API for at least the basic functions<br />
* S: able to generate reports (sold products, inventory, cash)<br />
<br />
* aes256cbc has been working on the database part, and will take care of the rest of the backend (in php), with a json formatted API.<br />
[[Media:ACKbar.dia.tar|ACKbar.dia.tar]]<br />
<br />
== frontend ==<br />
* M: Scan a product and buy it<br />
* S: administrative: add/edit/remove products<br />
* S: possibility for (automated) inventory and cash audits<br />
<br />
<br />
* [[User:Prodigity|Prodigity]] is thinking of writing the frontend in Python<br />
* [[User:Xopr|Xopr]] is thinking of using html/javascript<br />
<br />
<br />
= current (old) system =<br />
We want to have less effort with the cash register. Currently we use a pay-direct system. People generally pay for a single item with a 5 or 10 euro note which quickly leads to the amount of change being low. <br />
<br />
The general idea is to create a system where you can have an account and prepay for your stuff. Then use a barcode/touchscreen system to withdraw the prices from the account. This way we can reduce the need for the large amounts of change.<br />
<br />
Also it would be nice to have less effort with counting the cash register and stock, which currently takes approx. 15 min per day. <br />
<br />
We could use one of the existing systems used by other spaces, but we feel we really should create our own version.<br />
As of current there are 2 systems being developed. The first is in bash-shell , the second is in LOLcode http://lolcode.org/ <br />
We have got a dedicated OpenBSD machine (HP ePC C10) with a barcode scanner and a touchscreen to make it as easy as pie to use this system. The barcode scanner is working, the touchscreen works but the touch based BarSystem isn't finished yet. Unfortunatly we haven't found any (proper) documentation yet :\<br />
<br />
==Functional Design==<br />
'''Must have'''<br />
* barcode scanning<br />
* add products<br />
* deposit money<br />
* account<br />
* keyboard input<br /><br />
* cancel any operation<br /><br />
* admin functions<br /><br />
* cud functions<br /><br />
<br />
'''Should have'''<br />
* touchscreen<br /><br />
* voorraadbeheer<br /><br />
* kasbeheer<br /><br />
<br />
'''Could have'''<br />
* names with spaces<br /><br />
* tab completion<br /><br />
* multi-language<br /><br />
<br />
'''Wont have'''<br />
* Internet connection<br /><br />
<br />
'''Flowchart'''<br />
[[File:Bar flowchart.png|center|Flowchart for the Bar System]]</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Programming_multicore_microcontrollers&diff=5757Programming multicore microcontrollers2016-01-31T16:49:00Z<p>Da Syntax: </p>
<hr />
<div>{{Project<br />
|State=Completed<br />
|Members=Danny Witberg<br />
|Description=This project describes the programming of multicore microcontrollers<br />
}}<br />
== Introduction ==<br />
<br />
Microcontroller until now were mostly single cores based, but XMOS introduced a microcontroller where multiple processes can run at once. This can be a great advantage where time critical processes can be handled simultaneously. If you want to do that on a single core microcontroller, you would have to handle the two threads with interrupts or some other means of dividing the available processing power onto the several tasks. <br />
<br />
This project shows how multicore microcontrollers are programmed, and how several tasks are handled in an extension to the C language. It also shows how different tasks can communicate with each other, and how timed I/O can assist in achieving higher communication transfer speeds.<br />
<br />
== XMOS microcontrollers ==<br />
<br />
XMOS is a silicon manufacturer who specialise in multicore microcontrollers. Each chip can contain 4 to 16 XCOREs, each capable of running a seperate task. They share on chip memory, and can be connected to external I/O. An XMOS controller can also be fitted with analog capabilities, or physical interfaces like an USB PHY. Also, the XCOREs inside the microcontroller is equipped with a dedicated multiplier, ideal for performing DSP tasks. The controller runs at a decent 400MHz-500MHz and is capable of doing 1000 MIPS. With these numbers, XMOS controllers easily outperform any Arduino board, and give twice the processing power of the fastest single core microcontrollers.<br />
<br />
[[File:xmos_core_layout.png]]<br />
<br />
XMOS controllers are programmed by a JTAG interface, and the IDE is Eclipse based. It accepts normal C/C++ programming but has an extension to the C language for handling multiprocessing instructions, XC. With these extensions parallel running tasks can be initiated and specialised I/O can be configured.<br />
<br />
== I/O : ports and clocks ==<br />
<br />
I/O on an XMOS controller is regulated by ports. Each port is identified by its width, and can be multiplexed with other ports. The widths these ports come in are 32, 16, 8, 4 and 1 bit. Writing to or reading from a port means all the I/O pins are accessed at once. This is a big advantage over adressing individual pins in terms of speed. Also, a port can be configured with an internal or external clock, or a data valid signal. Buffered ports can be used to accumulate multiple I/O actions into one single bigger variable.<br />
<br />
In the name of the port, the port width is given, so is <code>XS1_PORT_1D</code> a 1 bit wide port, and <code>XS1_PORT_8B</code> means an 8 bit port. Because there is a limited number of physical pins on the microcontroller, more than one port can be multiplexed onto the same pin. By default, port mapped with a smaller width have priority over a larger width port assigment. A one bit wide port can be configured as a clock input or output, or accompany a data port as a data valid indicator. When used in this form, a clock block indicates a group of ports which work on the same clock cycle.<br />
<br />
Apart from these ports, pins can also be assign to a link. These link pins form a 5 bit bidirectional bus, and can be used for interconnection between multiple XMOS chips. This link bus is used for inter-process communication. This way more XCOREs can work together, even across a multi-chip system. Link buses always have priority over port mapped pins.<br />
<br />
In XC, you can easily specify to input from a port:<br />
<br />
<code><br />
in port input_port = XS1_PORT_8B;<br />
<br />
unsigned char variable;<br />
<br />
input_port :> variable;<br />
<br />
</code><br />
<br />
The microcontroller will wait on the input instruction until data becomes available. This is because all ports are triggered by a clock block. The input for a clock block can be an 1 bit wide input port or the (divided) processor clock. An example of how an external signal can be used as a clock. You will first have to assign a clock block to be triggered by a 1 bit input port. In this example, this is the <code>clock_port</code> port. Next, assign the input port to use the clock block as a clock source:<br />
<br />
<code><br />
<br />
in port clock_port = XS1_PORT_1A;<br />
<br />
clock input_clock = XS1_CLKBLK_1;<br />
<br />
configure_clk_src(input_clock, clock_port);<br />
<br />
configure_in_port(input_clock, input_port);<br />
<br />
</code><br />
<br />
Output data to a port is very similar In the following example, an 8 bit wide variable is used with a 4 bit wide output port. Only the 4 LSB's of the variable will be outputted:<br />
<br />
<code><br />
<br />
out port output_port = XS1_PORT_4C;<br />
<br />
unsigned char data_to_output = 0x0d;<br />
<br />
output_port <: data_to_output;<br />
<br />
</code><br />
<br />
A port can also be bidirectional. It acts like a normal output or input port. If you want the port to be tristated, just perform an input on the port.<br />
<br />
<code><br />
<br />
port bidir_port = XS1_PORT_1F;<br />
<br />
bidir_port <: data_to_output; // port is driven to output the LSB of the variable.<br />
<br />
bidir_port :> variable; // same port is now tristated on the next clock cycle, and the LSB of variable now contains the port value.<br />
<br />
</code><br />
<br />
== Running parallel processes ==<br />
<br />
The XC language extension also has instructions to run tasks in parallel to each other.<br />
<br />
>> Insert info here <<<br />
<br />
== First project: Blinking leds ==<br />
<br />
The GPIO slice is connected to the square slot on the xCORE-USB slicekit. It contains 4 leds which are connected to XS1_PORT_4A on tile 1 of the processor. We can let those leds blink as a 4 bit counter:<br />
<br />
[[File:blink.jpg|600px]]<br />
<br />
<pre><br />
#include <platform.h><br />
#include <xs1.h><br />
#include <timer.h><br />
<br />
on tile[1]: out port ledjes = XS1_PORT_4A;<br />
<br />
void task1(void){<br />
unsigned char led_status = 0;<br />
while (1){<br />
if(led_status < 16){<br />
ledjes <: led_status;<br />
led_status++;<br />
delay_milliseconds(50);<br />
} else {<br />
led_status=0;<br />
}<br />
}<br />
}<br />
<br />
int main(){<br />
par{<br />
on tile[1]: task1();<br />
}<br />
return 0;<br />
}<br />
</pre></div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Programming_multicore_microcontrollers&diff=5756Programming multicore microcontrollers2016-01-31T16:48:21Z<p>Da Syntax: </p>
<hr />
<div>{{Project<br />
|State=Active, Completed<br />
|Members=Danny Witberg<br />
|Description=This project describes the programming of multicore microcontrollers<br />
}}<br />
== Introduction ==<br />
<br />
Microcontroller until now were mostly single cores based, but XMOS introduced a microcontroller where multiple processes can run at once. This can be a great advantage where time critical processes can be handled simultaneously. If you want to do that on a single core microcontroller, you would have to handle the two threads with interrupts or some other means of dividing the available processing power onto the several tasks. <br />
<br />
This project shows how multicore microcontrollers are programmed, and how several tasks are handled in an extension to the C language. It also shows how different tasks can communicate with each other, and how timed I/O can assist in achieving higher communication transfer speeds.<br />
<br />
== XMOS microcontrollers ==<br />
<br />
XMOS is a silicon manufacturer who specialise in multicore microcontrollers. Each chip can contain 4 to 16 XCOREs, each capable of running a seperate task. They share on chip memory, and can be connected to external I/O. An XMOS controller can also be fitted with analog capabilities, or physical interfaces like an USB PHY. Also, the XCOREs inside the microcontroller is equipped with a dedicated multiplier, ideal for performing DSP tasks. The controller runs at a decent 400MHz-500MHz and is capable of doing 1000 MIPS. With these numbers, XMOS controllers easily outperform any Arduino board, and give twice the processing power of the fastest single core microcontrollers.<br />
<br />
[[File:xmos_core_layout.png]]<br />
<br />
XMOS controllers are programmed by a JTAG interface, and the IDE is Eclipse based. It accepts normal C/C++ programming but has an extension to the C language for handling multiprocessing instructions, XC. With these extensions parallel running tasks can be initiated and specialised I/O can be configured.<br />
<br />
== I/O : ports and clocks ==<br />
<br />
I/O on an XMOS controller is regulated by ports. Each port is identified by its width, and can be multiplexed with other ports. The widths these ports come in are 32, 16, 8, 4 and 1 bit. Writing to or reading from a port means all the I/O pins are accessed at once. This is a big advantage over adressing individual pins in terms of speed. Also, a port can be configured with an internal or external clock, or a data valid signal. Buffered ports can be used to accumulate multiple I/O actions into one single bigger variable.<br />
<br />
In the name of the port, the port width is given, so is <code>XS1_PORT_1D</code> a 1 bit wide port, and <code>XS1_PORT_8B</code> means an 8 bit port. Because there is a limited number of physical pins on the microcontroller, more than one port can be multiplexed onto the same pin. By default, port mapped with a smaller width have priority over a larger width port assigment. A one bit wide port can be configured as a clock input or output, or accompany a data port as a data valid indicator. When used in this form, a clock block indicates a group of ports which work on the same clock cycle.<br />
<br />
Apart from these ports, pins can also be assign to a link. These link pins form a 5 bit bidirectional bus, and can be used for interconnection between multiple XMOS chips. This link bus is used for inter-process communication. This way more XCOREs can work together, even across a multi-chip system. Link buses always have priority over port mapped pins.<br />
<br />
In XC, you can easily specify to input from a port:<br />
<br />
<code><br />
in port input_port = XS1_PORT_8B;<br />
<br />
unsigned char variable;<br />
<br />
input_port :> variable;<br />
<br />
</code><br />
<br />
The microcontroller will wait on the input instruction until data becomes available. This is because all ports are triggered by a clock block. The input for a clock block can be an 1 bit wide input port or the (divided) processor clock. An example of how an external signal can be used as a clock. You will first have to assign a clock block to be triggered by a 1 bit input port. In this example, this is the <code>clock_port</code> port. Next, assign the input port to use the clock block as a clock source:<br />
<br />
<code><br />
<br />
in port clock_port = XS1_PORT_1A;<br />
<br />
clock input_clock = XS1_CLKBLK_1;<br />
<br />
configure_clk_src(input_clock, clock_port);<br />
<br />
configure_in_port(input_clock, input_port);<br />
<br />
</code><br />
<br />
Output data to a port is very similar In the following example, an 8 bit wide variable is used with a 4 bit wide output port. Only the 4 LSB's of the variable will be outputted:<br />
<br />
<code><br />
<br />
out port output_port = XS1_PORT_4C;<br />
<br />
unsigned char data_to_output = 0x0d;<br />
<br />
output_port <: data_to_output;<br />
<br />
</code><br />
<br />
A port can also be bidirectional. It acts like a normal output or input port. If you want the port to be tristated, just perform an input on the port.<br />
<br />
<code><br />
<br />
port bidir_port = XS1_PORT_1F;<br />
<br />
bidir_port <: data_to_output; // port is driven to output the LSB of the variable.<br />
<br />
bidir_port :> variable; // same port is now tristated on the next clock cycle, and the LSB of variable now contains the port value.<br />
<br />
</code><br />
<br />
== Running parallel processes ==<br />
<br />
The XC language extension also has instructions to run tasks in parallel to each other.<br />
<br />
>> Insert info here <<<br />
<br />
== First project: Blinking leds ==<br />
<br />
The GPIO slice is connected to the square slot on the xCORE-USB slicekit. It contains 4 leds which are connected to XS1_PORT_4A on tile 1 of the processor. We can let those leds blink as a 4 bit counter:<br />
<br />
[[File:blink.jpg|600px]]<br />
<br />
<pre><br />
#include <platform.h><br />
#include <xs1.h><br />
#include <timer.h><br />
<br />
on tile[1]: out port ledjes = XS1_PORT_4A;<br />
<br />
void task1(void){<br />
unsigned char led_status = 0;<br />
while (1){<br />
if(led_status < 16){<br />
ledjes <: led_status;<br />
led_status++;<br />
delay_milliseconds(50);<br />
} else {<br />
led_status=0;<br />
}<br />
}<br />
}<br />
<br />
int main(){<br />
par{<br />
on tile[1]: task1();<br />
}<br />
return 0;<br />
}<br />
</pre></div>Da Syntaxhttps://ackspace.nl/w/index.php?title=MADI_transceiver&diff=5755MADI transceiver2016-01-31T16:47:52Z<p>Da Syntax: </p>
<hr />
<div>{{Project<br />
|State=Completed<br />
|Members=Danny Witberg<br />
|Description=This project descibes a MADI transceiver in VHDL<br />
}}<br />
== Introduction ==<br />
<br />
The Multichannel Audio Digital Interface or MADI protocol is a way to send multiple digital audio channels over a single transmission line. The protocol describes up to 64 channels of up to 24 bit audio at a sample rate of 48kHz. The sample rate can be higher at the expense of the number of channels, so up to 32 channels of 96kHz sampled audio is also a possibility. With the S-MUX protocol, even higher sample rates can be achieved with putting consecutive samples in adjacent channels. This project describes an implementation of the MADI protocol with the use of an FPGA in the VHDL language. <br />
<br />
== MADI protocol ==<br />
<br />
The MADI protocol is based on the not-so-popular-anymore FDDI protocol, which was a networking protocol. MADI was invented by the AES or Audio Engineering Society back in 1991, and became known as the AES10 standard. The line speed or symbol speed of MADI is 125Mbps, while the transmission speed is 100Mbps. The difference is because of a line encoding scheme known as 4B5B, which converts 4 incoming bits into 5 outgoing bits. Also, for synchronisation purposes, there is a special bit sequence. A MADI datastream consists of a continuous flow of frames, each carrying up to 64 channels. The maximum length of a frame is equal to the sampling rate, because between frames, the synchronisation symbol is sent. Each channel has 4 mode bits, 24 bits representing the audio data, and 4 other bits used for other purposes. So, a total of 32 bits is needed for a channel. The maximum effective transmission speed is 32 bits x 64 channels x 48000Hz is 98304000 bits per second or 98.304Mbps. This leaves a minimum of 1.696Mbps for synchronisation.<br />
<br />
== MADI decoding ==<br />
<br />
To decode a MADI stream you will need to extract the data bits out of the stream. MADI is NRZI encoded, meaning a '0' is sent as no signal change, and a '1' is sent as a change in the signal. There are a couple advantages to this. First of all, the maximum signal transition rate of the data signal is 62.5MHz, meaning cheaper hardware can be used that don't have to handle with a 125MHz signal. Second, the actual level of the signal does not matter, only changes in the signal has to be detected.<br />
<br />
The symbol speed of MADI is 125Mbps and is pretty high compared to the nominal speeds that cheap FPGA's are capable of. Also, the clock signal is not transmitted with the data, so we only know that the symbol speed is ABOUT 125Mbps. We can not simply feed a 125MHz signal to a data latch and input our stream data. A speed variance of only 25ppm (parts-per-million) means we would be missing 3125 bits every second! To succesfully extract all the data bits out of the stream, we would have to do one of two things: 1) Determine the exact clock rate of the datastream or 2) Oversample the stream to make sure we get all the data. The first solution is mostly an analog process, involving a Phase Locked Loop (PLL) and a good analog filter to extract the clock signal out of the datastream. Since the FPGA is all digital logic, we can not use this solution. The second solution means we have to sample the incoming signal at a much higher rate than the transmission speed. Oversampling factors of 6 and higher is no luxury! 125MHz x 6 is 750MHz and that would instantly turn the implementation of this design onto an FPGA to a very expensive project!<br />
<br />
=== Multi Phase Deserialising ===<br />
<br />
We can still implement the decoding of the MADI stream in an FPGA thanks to a very cool feature of the PLL inside of the FPGA. Inside the FPGA, there is a PLL to convert an incoming clock into a derative clock signal. For example, we can convert an incoming 25MHz signal into a 5 times higher frequency of 125MHz. The PLL has a number of outputs, and each of those outputs is capable of outputting the frequency at a different phase. This is the feature we need for oversampling the MADI datastream! The Altera Cyclone II we intended to use has 3 outputs for each PLL, C0, C1 and C2. We can output the same clock speed at the C1 output as the C0 output, but at a 60 degrees phase advance compared to the C0 output. If we set the C2 output at 120 degrees advance, we have basically 6 phases we can detect.<br />
<br />
=== Count the sampling moments ===<br />
<br />
Now that we have a parallel signal representation of our incoming datastream, we can count the bits that the incoming datastream stays the same. What use does this have? Well, if we know for how long the incoming stream stays the same, we know how many '0' bits have been transmitted before the change (meaning a '1') has been sent. The best way to discriminate this is by means of bit buckets. If you are using a 6x oversampling scheme, you could say if 5 to 7 bits stayed the same before the signal changed, a '1' had been sent. from 11 to 13 bits, a "01" has been sent, etc. The way the MADI symbols are encoded, the maximum amount of '0's sent before a '1' is 4. This means that we only have to create bit buckets for '0', "01", "001", "0001" and "00001". There are no other possibilities.<br />
<br />
=== Accumulate the incoming bits ===<br />
<br />
Since we now have way to extract the actually sent databits out of our stream, we can focus on alignment and decoding. MADI uses a distinct synchronization bit pattern, which is known as "JK" in 4B5B terms. This bitpattern of "11000 10001" we have to look out for in our incoming bits. Note that there is a '1' at the end of this pattern, meaning that, at the moment this pattern is received, we are in alignment. This is important because we con only decode a '0' or a stream of '0's at the moment that it is followed by a '1'. <br />
<br />
=== Decoding the bitstream ===<br />
<br />
After we are aligned, we know that we have to decode bits by groups of 5. We can use a counter to count how many bits are received. If the counter is under 5, we can not decode yet. If it is 5 exactly, we can decode 1 symbol, and reset the counter. But if it is more than 5 bits, we have to decrease the counter by 5, and get the last 5 bits.<br />
<br />
{| class="wikitable" style="text-align:center;"<br />
|4 bit code || 5 bit symbol<br />
|-<br />
| 0000 || 11110<br />
|-<br />
| 0001 || 01001<br />
|-<br />
| 0010 || 10100<br />
|-<br />
| 0011 || 10101<br />
|-<br />
| 0100 || 01010<br />
|-<br />
| 0101 || 01011<br />
|-<br />
| 0110 || 01110<br />
|-<br />
| 0111 || 01111<br />
|-<br />
| 1000 || 10010<br />
|-<br />
| 1001 || 10011<br />
|-<br />
| 1010 || 10110<br />
|-<br />
| 1011 || 10111<br />
|-<br />
| 1100 || 11010<br />
|-<br />
| 1101 || 11011<br />
|-<br />
| 1110 || 11100<br />
|-<br />
| 1111 || 11101<br />
|}<br />
<br />
== VHDL code ==<br />
<br />
The following VHDL code is highly experimental, but it explains the theory in a more practical manner:<br />
<br />
<pre><br />
library ieee;<br />
use ieee.std_logic_1164.all;<br />
use ieee.std_logic_unsigned.all;<br />
<br />
entity madi_direct is<br />
port(<br />
madi_clk_25 : in std_logic;<br />
madi_input : in std_logic;<br />
madi_output : out std_logic;<br />
madi_rx_data : out std_logic_vector(23 downto 0);<br />
madi_rx_channel : out std_logic_vector(5 downto 0);<br />
madi_rx_write_enable : out std_logic;<br />
madi_tx_data : in std_logic_vector(23 downto 0);<br />
madi_tx_channel : out std_logic_vector(5 downto 0);<br />
madi_wordclock_out : out std_logic;<br />
madi_wordclock_in : in std_logic<br />
);<br />
end entity madi_direct;<br />
<br />
architecture behavioural of madi_direct is<br />
<br />
type madi_nibble_buffer is array(7 downto 0) of std_logic_vector(3 downto 0);<br />
<br />
signal madi_clock_0_degrees : std_logic;<br />
signal madi_clock_45_degrees : std_logic;<br />
signal madi_clock_90_degrees : std_logic;<br />
signal madi_clock_135_degrees : std_logic;<br />
<br />
signal madi_input_buffer_c0 : std_logic;<br />
signal madi_input_buffer_c1 : std_logic;<br />
signal madi_input_buffer_c2 : std_logic;<br />
signal madi_input_buffer_c3 : std_logic;<br />
signal madi_input_buffer_i0 : std_logic;<br />
signal madi_input_buffer_i1 : std_logic;<br />
signal madi_input_buffer_i2 : std_logic;<br />
signal madi_input_buffer : std_logic_vector(7 downto 0);<br />
signal madi_input_buffer_nrz : std_logic_vector(7 downto 0);<br />
signal madi_input_buffer_last : std_logic;<br />
signal madi_input_bit_stream_counter : std_logic_vector(4 downto 0);<br />
signal madi_input_bit_stream_max : std_logic_vector(4 downto 0);<br />
signal madi_input_bit_stream : std_logic_vector(9 downto 0);<br />
signal madi_input_bit_stream_trigger : std_logic;<br />
signal madi_input_bit_stream_error : std_logic;<br />
signal madi_input_bit_counter : std_logic_vector(3 downto 0) := "1111";<br />
signal madi_input_symbol : std_logic_vector(4 downto 0);<br />
signal madi_input_symbol_active : std_logic;<br />
signal madi_input_symbol_shift : std_logic_vector(1 downto 0);<br />
signal madi_input_nibble : std_logic_vector(3 downto 0);<br />
signal madi_input_nibble_clk : std_logic;<br />
signal madi_input_nibble_rst : std_logic;<br />
signal madi_input_nibble_cnt : std_logic_vector(2 downto 0);<br />
signal madi_input_nibble_buffer : madi_nibble_buffer;<br />
signal madi_input_mute_shift : std_logic_vector(1 downto 0) := (others => '0');<br />
signal madi_input_channel_cnt : std_logic_vector(5 downto 0) := (others => '0');<br />
signal madi_input_channel_rst : std_logic := '0';<br />
signal madi_input_wordclk_shift : std_logic_vector(1 downto 0);<br />
signal madi_input_wordclk_current : std_logic_vector(11 downto 0) := (others => '0');<br />
signal madi_input_wordclk_reference : std_logic_vector(24 downto 0) := (others => '0');<br />
signal madi_input_wordclk_count : std_logic_vector(11 downto 0) := (others => '0');<br />
<br />
signal madi_output_wordclock_shift : std_logic_vector(1 downto 0);<br />
signal madi_output_data : std_logic_vector(23 downto 0); <br />
signal madi_output_buffer : std_logic_vector(9 downto 0) := (others => '0');<br />
signal madi_output_nibble : std_logic_vector(3 downto 0) := (others => '0');<br />
signal madi_output_transmit_idle : std_logic_vector(1 downto 0) := (others => '0');<br />
signal madi_output_start_nibble : std_logic_vector(3 downto 0) := (others => '0');<br />
signal madi_output_vucp : std_logic_vector (3 downto 0) := "0000";<br />
signal madi_output_symbol : std_logic_vector(4 downto 0);<br />
signal madi_output_first_frame : std_logic := '0';<br />
signal madi_output_transmit_frame : std_logic := '0';<br />
signal madi_output_transmit_commence : std_logic := '0';<br />
signal madi_output_address : std_logic_vector(8 downto 0) := (others => '0');<br />
signal madi_output_bit_counter : std_logic_vector(2 downto 0) := (others => '0');<br />
signal madi_output_symbol_shift : std_logic_vector(4 downto 0); <br />
<br />
component rec_pll is<br />
port(<br />
inclk0 : in std_logic;<br />
c0 : out std_logic;<br />
c1 : out std_logic;<br />
c2 : out std_logic;<br />
c3 : out std_logic<br />
);<br />
end component rec_pll;<br />
<br />
begin<br />
<br />
receive_pll : rec_pll<br />
port map(<br />
inclk0 => madi_clk_25,<br />
c0 => madi_clock_0_degrees,<br />
c1 => madi_clock_45_degrees,<br />
c2 => madi_clock_90_degrees,<br />
c3 => madi_clock_135_degrees <br />
);<br />
<br />
madi_receive_stream_0_degrees : process (madi_clock_0_degrees)<br />
begin<br />
if madi_clock_0_degrees'event and madi_clock_0_degrees = '1' then<br />
madi_input_buffer_c0 <= madi_input;<br />
end if;<br />
if madi_clock_0_degrees'event and madi_clock_0_degrees = '0' then<br />
madi_input_buffer_i0 <= madi_input;<br />
end if;<br />
end process madi_receive_stream_0_degrees;<br />
<br />
madi_receive_stream_45_degrees : process (madi_clock_45_degrees)<br />
begin<br />
if madi_clock_45_degrees'event and madi_clock_45_degrees = '1' then<br />
madi_input_buffer_c1 <= madi_input;<br />
end if;<br />
if madi_clock_45_degrees'event and madi_clock_45_degrees = '0' then<br />
madi_input_buffer_i1 <= madi_input;<br />
end if;<br />
end process madi_receive_stream_45_degrees;<br />
<br />
madi_receive_stream_90_degrees : process (madi_clock_90_degrees)<br />
begin<br />
if madi_clock_90_degrees'event and madi_clock_90_degrees = '1' then<br />
madi_input_buffer_c2 <= madi_input;<br />
end if;<br />
if madi_clock_90_degrees'event and madi_clock_90_degrees = '0' then<br />
madi_input_buffer_i2 <= madi_input;<br />
end if;<br />
end process madi_receive_stream_90_degrees;<br />
<br />
madi_receive_stream_135_degrees : process (madi_clock_135_degrees)<br />
begin<br />
if madi_clock_135_degrees'event and madi_clock_135_degrees = '1' then<br />
madi_input_buffer_c3 <= madi_input;<br />
end if;<br />
if madi_clock_135_degrees'event and madi_clock_135_degrees = '0' then<br />
madi_input_buffer <= madi_input_buffer_c0 & madi_input_buffer_c1 & madi_input_buffer_c2 & madi_input_buffer_c3 & madi_input_buffer_i0 & madi_input_buffer_i1 & madi_input_buffer_i2 & madi_input;<br />
end if;<br />
end process madi_receive_stream_135_degrees;<br />
<br />
madi_receive_bit_stream : process (madi_clock_0_degrees)<br />
begin<br />
if madi_clock_0_degrees'event and madi_clock_0_degrees = '1' then<br />
madi_input_buffer_last <= madi_input_buffer(madi_input_buffer'right);<br />
madi_input_buffer_nrz(7) <= madi_input_buffer_last xor madi_input_buffer(7);<br />
madi_input_buffer_nrz(6) <= madi_input_buffer(7) xor madi_input_buffer(6);<br />
madi_input_buffer_nrz(5) <= madi_input_buffer(6) xor madi_input_buffer(5);<br />
madi_input_buffer_nrz(4) <= madi_input_buffer(5) xor madi_input_buffer(4);<br />
madi_input_buffer_nrz(3) <= madi_input_buffer(4) xor madi_input_buffer(3);<br />
madi_input_buffer_nrz(2) <= madi_input_buffer(3) xor madi_input_buffer(2);<br />
madi_input_buffer_nrz(1) <= madi_input_buffer(2) xor madi_input_buffer(1);<br />
madi_input_buffer_nrz(0) <= madi_input_buffer(1) xor madi_input_buffer(0);<br />
end if;<br />
end process madi_receive_bit_stream;<br />
<br />
madi_receive_bit_stream_decode : process (madi_clock_0_degrees)<br />
begin<br />
if madi_clock_0_degrees'event and madi_clock_0_degrees = '1' then<br />
case madi_input_buffer_nrz is<br />
when "00000000" =><br />
madi_input_bit_stream_counter <= madi_input_bit_stream_counter + 8;<br />
madi_input_bit_stream_trigger <= '0';<br />
madi_input_bit_stream_error <= '0';<br />
when "10000000" =><br />
madi_input_bit_stream_counter <= "00111";<br />
madi_input_bit_stream_max <= madi_input_bit_stream_counter;<br />
madi_input_bit_stream_trigger <= '1';<br />
madi_input_bit_stream_error <= '0';<br />
when "01000000" =><br />
madi_input_bit_stream_counter <= "00110";<br />
madi_input_bit_stream_max <= madi_input_bit_stream_counter + 1;<br />
madi_input_bit_stream_trigger <= '1';<br />
madi_input_bit_stream_error <= '0';<br />
when "00100000" =><br />
madi_input_bit_stream_counter <= "00101";<br />
madi_input_bit_stream_max <= madi_input_bit_stream_counter + 2;<br />
madi_input_bit_stream_trigger <= '1';<br />
madi_input_bit_stream_error <= '0';<br />
when "00010000" =><br />
madi_input_bit_stream_counter <= "00100";<br />
madi_input_bit_stream_max <= madi_input_bit_stream_counter + 3;<br />
madi_input_bit_stream_trigger <= '1';<br />
madi_input_bit_stream_error <= '0';<br />
when "00001000" =><br />
madi_input_bit_stream_counter <= "00011";<br />
madi_input_bit_stream_max <= madi_input_bit_stream_counter + 4;<br />
madi_input_bit_stream_trigger <= '1';<br />
madi_input_bit_stream_error <= '0';<br />
when "00000100" =><br />
madi_input_bit_stream_counter <= "00010";<br />
madi_input_bit_stream_max <= madi_input_bit_stream_counter + 5;<br />
madi_input_bit_stream_trigger <= '1';<br />
madi_input_bit_stream_error <= '0';<br />
when "00000010" =><br />
madi_input_bit_stream_counter <= "00001";<br />
madi_input_bit_stream_max <= madi_input_bit_stream_counter + 6;<br />
madi_input_bit_stream_trigger <= '1';<br />
madi_input_bit_stream_error <= '0';<br />
when "00000001" =><br />
madi_input_bit_stream_counter <= (others => '0');<br />
madi_input_bit_stream_max <= madi_input_bit_stream_counter + 7;<br />
madi_input_bit_stream_trigger <= '1';<br />
madi_input_bit_stream_error <= '0';<br />
when others =><br />
madi_input_bit_stream_error <= '1';<br />
end case;<br />
end if;<br />
end process madi_receive_bit_stream_decode;<br />
<br />
madi_receive_bit_stream_put : process (madi_clock_0_degrees)<br />
begin<br />
if madi_clock_0_degrees'event and madi_clock_0_degrees = '1' then<br />
if madi_input_bit_stream_trigger = '1' then<br />
if madi_input_bit_stream_max >= 8 and madi_input_bit_stream_counter <= 9 then<br />
madi_input_bit_stream <= madi_input_bit_stream(madi_input_bit_stream'left-1 downto 0) & '1';<br />
madi_input_bit_counter <= madi_input_bit_counter + 1;<br />
end if;<br />
if madi_input_bit_stream_max >= 17 and madi_input_bit_stream_counter <= 18 then<br />
madi_input_bit_stream <= madi_input_bit_stream(madi_input_bit_stream'left-2 downto 0) & "01";<br />
madi_input_bit_counter <= madi_input_bit_counter + 2;<br />
end if;<br />
if madi_input_bit_stream_max >= 25 and madi_input_bit_stream_counter <= 27 then<br />
madi_input_bit_stream <= madi_input_bit_stream(madi_input_bit_stream'left-3 downto 0) & "001";<br />
madi_input_bit_counter <= madi_input_bit_counter + 3;<br />
end if;<br />
if madi_input_bit_stream_max >= 33 and madi_input_bit_stream_counter <= 36 then<br />
madi_input_bit_stream <= madi_input_bit_stream(madi_input_bit_stream'left-2 downto 0) & "0001";<br />
if madi_input_bit_stream(5 downto 0) = "110001" then<br />
madi_input_bit_counter <= (others => '0');<br />
else<br />
madi_input_bit_counter <= madi_input_bit_counter + 4;<br />
end if;<br />
end if;<br />
else<br />
if madi_input_bit_counter = 5 then<br />
madi_input_symbol <= madi_input_bit_stream (4 downto 0);<br />
madi_input_bit_counter <= (others => '0');<br />
madi_input_symbol_active <= '1';<br />
else<br />
if madi_input_bit_counter = 6 then<br />
madi_input_symbol <= madi_input_bit_stream (5 downto 1);<br />
madi_input_bit_counter <= "0001";<br />
madi_input_symbol_active <= '1';<br />
else<br />
if madi_input_bit_counter = 7 then<br />
madi_input_symbol <= madi_input_bit_stream (6 downto 2);<br />
madi_input_bit_counter <= "0010";<br />
madi_input_symbol_active <= '1';<br />
else<br />
if madi_input_bit_counter = 8 then<br />
madi_input_symbol <= madi_input_bit_stream (7 downto 3);<br />
madi_input_bit_counter <= "0011";<br />
madi_input_symbol_active <= '1';<br />
else<br />
if madi_input_bit_counter = 9 then<br />
madi_input_symbol <= madi_input_bit_stream (8 downto 4);<br />
madi_input_bit_counter <= "0100";<br />
madi_input_symbol_active <= '1';<br />
else<br />
if madi_input_symbol_active = '1' then<br />
madi_input_symbol_active <= '0';<br />
end if;<br />
end if;<br />
end if;<br />
end if;<br />
end if;<br />
end if;<br />
end if;<br />
end if;<br />
end process madi_receive_bit_stream_put;<br />
<br />
madi_receive_symbol_decode : process (madi_clock_0_degrees)<br />
begin<br />
if madi_clock_0_degrees'event and madi_clock_0_degrees = '1' then<br />
madi_input_symbol_shift <= madi_input_symbol_shift(0) & madi_input_symbol_active;<br />
if madi_input_symbol_shift(1) = '1' then<br />
case madi_input_symbol is<br />
when "11110" =><br />
madi_input_nibble <= "0000";<br />
madi_input_nibble_clk <= '1';<br />
madi_input_nibble_rst <= '0';<br />
when "01001" =><br />
madi_input_nibble <= "0001";<br />
madi_input_nibble_clk <= '1';<br />
madi_input_nibble_rst <= '0';<br />
when "10100" =><br />
madi_input_nibble <= "0010";<br />
madi_input_nibble_clk <= '1';<br />
madi_input_nibble_rst <= '0';<br />
when "10101" =><br />
madi_input_nibble <= "0011";<br />
madi_input_nibble_clk <= '1';<br />
madi_input_nibble_rst <= '0';<br />
when "01010" =><br />
madi_input_nibble <= "0100";<br />
madi_input_nibble_clk <= '1';<br />
madi_input_nibble_rst <= '0';<br />
when "01011" =><br />
madi_input_nibble <= "0101";<br />
madi_input_nibble_clk <= '1';<br />
madi_input_nibble_rst <= '0';<br />
when "01110" =><br />
madi_input_nibble <= "0110";<br />
madi_input_nibble_clk <= '1';<br />
madi_input_nibble_rst <= '0';<br />
when "01111" =><br />
madi_input_nibble <= "0111";<br />
madi_input_nibble_clk <= '1';<br />
madi_input_nibble_rst <= '0';<br />
when "10010" =><br />
madi_input_nibble <= "1000";<br />
madi_input_nibble_clk <= '1';<br />
madi_input_nibble_rst <= '0';<br />
when "10011" =><br />
madi_input_nibble <= "1001";<br />
madi_input_nibble_clk <= '1';<br />
madi_input_nibble_rst <= '0';<br />
when "10110" =><br />
madi_input_nibble <= "1010";<br />
madi_input_nibble_clk <= '1';<br />
madi_input_nibble_rst <= '0';<br />
when "10111" =><br />
madi_input_nibble <= "1011";<br />
madi_input_nibble_clk <= '1';<br />
madi_input_nibble_rst <= '0';<br />
when "11010" =><br />
madi_input_nibble <= "1100";<br />
madi_input_nibble_clk <= '1';<br />
madi_input_nibble_rst <= '0';<br />
when "11011" =><br />
madi_input_nibble <= "1101";<br />
madi_input_nibble_clk <= '1';<br />
madi_input_nibble_rst <= '0';<br />
when "11100" =><br />
madi_input_nibble <= "1110";<br />
madi_input_nibble_clk <= '1';<br />
madi_input_nibble_rst <= '0';<br />
when "11101" =><br />
madi_input_nibble <= "1111";<br />
madi_input_nibble_clk <= '1';<br />
madi_input_nibble_rst <= '0';<br />
when others =><br />
madi_input_nibble <= "0000";<br />
madi_input_nibble_clk <= '0';<br />
madi_input_nibble_rst <= '1';<br />
end case; <br />
end if;<br />
end if;<br />
end process madi_receive_symbol_decode;<br />
<br />
madi_input_place_nibble : process (madi_clock_0_degrees)<br />
begin<br />
if madi_clock_0_degrees'event and madi_clock_0_degrees = '1' then<br />
if madi_input_nibble_rst = '1' then<br />
madi_input_nibble_cnt <= (others => '0');<br />
end if;<br />
if madi_input_channel_rst = '1' then<br />
madi_input_channel_rst <= '0';<br />
end if;<br />
if madi_input_nibble_clk = '1' then<br />
madi_input_nibble_cnt <= madi_input_nibble_cnt + 1;<br />
case madi_input_nibble_cnt is<br />
when "000" =><br />
madi_input_nibble_buffer(0) <= madi_input_nibble;<br />
when "001" =><br />
madi_input_nibble_buffer(1) <= madi_input_nibble(0) & madi_input_nibble(1) & madi_input_nibble(2) & madi_input_nibble(3);<br />
when "010" =><br />
madi_input_nibble_buffer(2) <= madi_input_nibble(0) & madi_input_nibble(1) & madi_input_nibble(2) & madi_input_nibble(3);<br />
when "011" =><br />
madi_input_nibble_buffer(3) <= madi_input_nibble(0) & madi_input_nibble(1) & madi_input_nibble(2) & madi_input_nibble(3);<br />
when "100" =><br />
madi_input_nibble_buffer(4) <= madi_input_nibble(0) & madi_input_nibble(1) & madi_input_nibble(2) & madi_input_nibble(3);<br />
when "101" =><br />
madi_input_nibble_buffer(5) <= madi_input_nibble(0) & madi_input_nibble(1) & madi_input_nibble(2) & madi_input_nibble(3);<br />
when "110" =><br />
madi_input_nibble_buffer(6) <= madi_input_nibble(0) & madi_input_nibble(1) & madi_input_nibble(2) & madi_input_nibble(3);<br />
when "111" =><br />
madi_input_nibble_buffer(7) <= madi_input_nibble;<br />
when others =><br />
end case;<br />
if madi_input_nibble(3) = '1' and madi_input_nibble_cnt = "000" then<br />
madi_input_channel_rst <= '1';<br />
madi_input_channel_cnt <= (others => '0');<br />
end if;<br />
madi_rx_write_enable <= madi_input_channel_rst;<br />
if madi_input_nibble_cnt = 7 then<br />
madi_rx_data <= madi_input_nibble_buffer(6) & madi_input_nibble_buffer(5) & madi_input_nibble_buffer(4) & madi_input_nibble_buffer(3) & madi_input_nibble_buffer(2) & madi_input_nibble_buffer(1);<br />
madi_input_channel_cnt <= madi_input_channel_cnt + 1;<br />
madi_rx_channel <= madi_input_channel_cnt;<br />
end if;<br />
end if;<br />
end if;<br />
end process madi_input_place_nibble;<br />
<br />
madi_input_generate_wordclk : process (madi_clock_0_degrees)<br />
begin<br />
if madi_clock_0_degrees'event and madi_clock_0_degrees = '1' then<br />
madi_input_wordclk_shift <= madi_input_wordclk_shift(0) & madi_input_channel_rst;<br />
if madi_input_wordclk_shift = "01" then<br />
madi_input_wordclk_count <= (others => '0');<br />
madi_input_wordclk_current <= madi_input_wordclk_count;<br />
else<br />
madi_input_wordclk_count <= madi_input_wordclk_count + 1;<br />
end if;<br />
if madi_input_wordclk_count < '0' & madi_input_wordclk_current(madi_input_wordclk_current'left downto 1) then<br />
madi_wordclock_out <= '1';<br />
else<br />
madi_wordclock_out <= '0';<br />
end if;<br />
end if;<br />
end process madi_input_generate_wordclk;<br />
<br />
madi_tx_channel <= madi_output_address(8 downto 3);<br />
<br />
madi_output_shift_wordclock : process (madi_clk_25)<br />
begin<br />
if madi_clk_25'event and madi_clk_25 = '1' then<br />
madi_output_wordclock_shift <= madi_output_wordclock_shift(0) & madi_wordclock_in;<br />
end if;<br />
end process madi_output_shift_wordclock;<br />
<br />
madi_output_shift_buffer : process (madi_clk_25)<br />
begin<br />
if madi_clk_25'event and madi_clk_25 = '1' then<br />
if madi_output_wordclock_shift = "01" then<br />
madi_output_transmit_commence <= '1';<br />
end if;<br />
if madi_output_transmit_commence = '1' and madi_output_transmit_idle = "01" then<br />
madi_output_address <= "000000001";<br />
madi_output_transmit_frame <= '1';<br />
madi_output_transmit_commence <= '0';<br />
madi_output_transmit_idle <= "00";<br />
madi_output_first_frame <= '0';<br />
else<br />
if madi_output_transmit_frame = '0' then<br />
case madi_output_transmit_idle is<br />
when "00" =><br />
madi_output_transmit_idle <= "10";<br />
when "01" =><br />
madi_output_transmit_idle <= "10";<br />
when "10" =><br />
madi_output_transmit_idle <= "01";<br />
when others =><br />
end case;<br />
else<br />
madi_output_address <= madi_output_address + 1; <br />
if madi_output_address = 511 then<br />
madi_output_transmit_frame <= '0';<br />
madi_output_first_frame <= '1';<br />
madi_output_address <= (others => '0');<br />
end if;<br />
end if;<br />
end if;<br />
case madi_output_address(2 downto 0) is<br />
when "000" =><br />
madi_output_nibble <= madi_output_first_frame & '1' & not(madi_output_address(3)) & '0';<br />
madi_output_data <= madi_tx_data;<br />
madi_output_vucp(0) <= madi_tx_data(23) xor madi_tx_data(22) xor madi_tx_data(21) xor madi_tx_data(20) xor madi_tx_data(19) xor madi_tx_data(18) xor madi_tx_data(17) xor madi_tx_data(16) xor madi_tx_data(15) xor madi_tx_data(14) xor madi_tx_data(13) xor madi_tx_data(12) xor madi_tx_data(11) xor madi_tx_data(10) xor madi_tx_data(9) xor madi_tx_data(8) xor madi_tx_data(7) xor madi_tx_data(6) xor madi_tx_data(5) xor madi_tx_data(4) xor madi_tx_data(3) xor madi_tx_data(2) xor madi_tx_data(1) xor madi_tx_data(0) xor madi_output_vucp(3) xor madi_output_vucp(2) xor madi_output_vucp(1);<br />
when "001" => <br />
madi_output_nibble <= madi_output_data(0) & madi_output_data(1) & madi_output_data(2) & madi_output_data(3);<br />
when "010" => <br />
madi_output_nibble <= madi_output_data(4) & madi_output_data(5) & madi_output_data(6) & madi_output_data(7);<br />
when "011" => <br />
madi_output_nibble <= madi_output_data(8) & madi_output_data(9) & madi_output_data(10) & madi_output_data(11);<br />
when "100" => <br />
madi_output_nibble <= madi_output_data(12) & madi_output_data(13) & madi_output_data(14) & madi_output_data(15);<br />
when "101" => <br />
madi_output_nibble <= madi_output_data(16) & madi_output_data(17) & madi_output_data(18) & madi_output_data(19);<br />
when "110" => <br />
madi_output_nibble <= madi_output_data(20) & madi_output_data(21) & madi_output_data(22) & madi_output_data(23);<br />
when "111" => <br />
madi_output_nibble <= madi_output_vucp;<br />
when others =><br />
end case;<br />
end if; <br />
end process madi_output_shift_buffer;<br />
<br />
madi_output_nibble_to_symbol : process (madi_clk_25)<br />
begin<br />
if madi_clk_25'event and madi_clk_25 = '1' then<br />
if madi_output_transmit_idle = "00" then<br />
case madi_output_nibble is<br />
when "0000" =><br />
madi_output_symbol <= "11110";<br />
when "0001" =><br />
madi_output_symbol <= "01001";<br />
when "0010" =><br />
madi_output_symbol <= "10100";<br />
when "0011" =><br />
madi_output_symbol <= "10101";<br />
when "0100" =><br />
madi_output_symbol <= "01010";<br />
when "0101" =><br />
madi_output_symbol <= "01011";<br />
when "0110" =><br />
madi_output_symbol <= "01110";<br />
when "0111" =><br />
madi_output_symbol <= "01111";<br />
when "1000" =><br />
madi_output_symbol <= "10010";<br />
when "1001" =><br />
madi_output_symbol <= "10011";<br />
when "1010" =><br />
madi_output_symbol <= "10110";<br />
when "1011" =><br />
madi_output_symbol <= "10111";<br />
when "1100" =><br />
madi_output_symbol <= "11010";<br />
when "1101" =><br />
madi_output_symbol <= "11011";<br />
when "1110" =><br />
madi_output_symbol <= "11100";<br />
when "1111" =><br />
madi_output_symbol <= "11101";<br />
when others =><br />
end case;<br />
else<br />
if madi_output_transmit_idle = "10" then<br />
madi_output_symbol <= "11000";<br />
else<br />
madi_output_symbol <= "10001";<br />
end if;<br />
end if;<br />
end if;<br />
end process madi_output_nibble_to_symbol;<br />
<br />
madi_output_bit_count : process (madi_clock_0_degrees)<br />
begin<br />
if madi_clock_0_degrees'event and madi_clock_0_degrees = '1' then<br />
if madi_output_bit_counter >= "100" then<br />
madi_output_bit_counter <= (others => '0');<br />
madi_output_symbol_shift <= madi_output_symbol;<br />
else<br />
madi_output_bit_counter <= madi_output_bit_counter + 1;<br />
madi_output_symbol_shift <= madi_output_symbol_shift(3 downto 0) & '0';<br />
end if;<br />
end if;<br />
end process madi_output_bit_count;<br />
<br />
madi_output <= madi_output_symbol_shift(madi_output_symbol_shift'left); <br />
<br />
end behavioural;<br />
</pre></div>Da Syntaxhttps://ackspace.nl/w/index.php?title=4U_VMware_cluster&diff=57544U VMware cluster2016-01-31T16:46:54Z<p>Da Syntax: </p>
<hr />
<div>{{Project<br />
|State=Completed<br />
|Members=Danny Witberg<br />
|Description=Make a mini VMware cluster<br />
|Picture=DL360G5.jpg<br />
}}<br />
== Introduction ==<br />
<br />
VMWare and virtual computing in general had many benefits over a physical server farm. One VMWare host can accomodate multiple virtual servers. Shared resources means better use of the actual hardware. In a cluster of hosts, several automation processes can be achieved such as auto restart upon failed VM, and automatic recovery after a failed host. To experiment with such a system, you will have to have a minimum of 3 host servers, and a shared storage system or datapool. My goal with this project is to set up the hardware in a mini 4U cluster system.<br />
<br />
[[File:DL360G5.jpg]]<br />
<br />
[[File:vmware_capacity.png]]<br />
<br />
PRO TIP: The HP DL360G5 servers used in this project can be obtained extremely cheap. The reason for this is that they drop out of virtualisation clusters by the masses. A server gets rebooted and don't get it back into the cluster pool. It gets decommissioned and the secondhand market is flooded with these servers. The reason they don't get it back into the cluster is the reset of a BIOS option: The no-execute bit of the CPU is set disabled by default, while it is required for a virtualisation environment. Solution: Replace the BIOS battery, a normal CR2032. I had to replace these on all four servers I got for this project, and they are all running like a breeze right now. I got two of my servers for a mere 60 euro's, with dual 2.66GHz quadcores and 16GB of memory installed!<br />
<br />
== The hardware ==<br />
<br />
The cluster is comprised of 4 HP DL360G5 1U servers. They are fairly cheap to come by, can easily be upgraded and are compact. This is an overview of the 4 systems:<br />
<br />
1) DONE<br />
<UL><LI>Hardware platform: HP DL360 G5</LI><br />
<LI>CPU: 2x Quadcore Xeon E5430 2.66GHz 64 bit 12MB cache</LI><br />
<LI>Memory: 26GB PC2-5300F ECC Fully buffered memory</LI><br />
<LI>Harddisk: none installed</LI><br />
<LI>Network: 2xBCM5705 gigabit Ethernet with offload engine + 2x dual gigabit Intel PRO1000PT</LI><br />
<LI>Power: 2x 700W hotswap power supply</LI><br />
<LI>Optical drive: DVD/CD rewriter</LI><br />
<LI>Management port: ILO2 100Mbit Ethernet</LI><br />
<LI>Boot disk: 16GB USB stick</LI></UL><br />
<br />
2) DONE<br />
<UL><LI>Hardware platform: HP DL360 G5</LI><br />
<LI>CPU: 2x Quadcore Xeon E5430 2.66GHz 64 bit 12MB cache</LI><br />
<LI>Memory: 26GB PC2-5300F ECC Fully buffered memory</LI><br />
<LI>Harddisk: none installed</LI><br />
<LI>Network: 2xBCM5705 gigabit Ethernet with offload engine + 2x dual gigabit Intel PRO1000PT</LI><br />
<LI>Power: 2x 700W hotswap power supply</LI><br />
<LI>Optical drive: DVD/CD rewriter</LI><br />
<LI>Management port: ILO2 100Mbit Ethernet</LI><br />
<LI>Boot disk: 16GB USB stick</LI></UL><br />
<br />
3) DONE<br />
<UL><LI>Hardware platform: HP DL360 G5</LI><br />
<LI>CPU: 2x Quadcore Xeon E5420 2.5GHz 64 bit 12MB cache</LI><br />
<LI>Memory: 26GB PC2-5300F ECC Fully buffered memory</LI><br />
<LI>Network: 2xBCM5705 gigabit Ethernet with offload engine + 2x dual gigabit Intel PRO1000PT</LI><br />
<LI>Power: 2x 700W hotswap power supply</LI><br />
<LI>Harddisk: none</LI><br />
<LI>Optical drive: DVD/CD rewriter</LI><br />
<LI>Management port: ILO2 100Mbit Ethernet</LI><br />
<LI>Boot disk: 16B USB stick</LI></UL><br />
<br />
4) Pending...<br />
<UL><LI>Hardware platform: HP DL360 G5 DONE</LI><br />
<LI>CPU: 1x Quadcore Xeon E5405 2GHz 64 bit 12MB cache DONE</LI><br />
<LI>Memory: 22GB PC2-5300F ECC Fully buffered memory DONE</LI><br />
<LI>Network: 2xBCM5705 gigabit Ethernet with offload engine + 2x dual gigabit Intel PRO1000PT DONE</LI><br />
<LI>Harddisk: 3-5x SATA 500-1000GB 7200RPM 2.5inch drive + 256GB SSD <-- Still open for suggestions</LI><br />
<LI>Power: 2x 700W hotswap power supply DONE</LI><br />
<LI>Optical drive: CD rewriter DONE</LI><br />
<LI>Management port: ILO2 100Mbit Ethernet</LI><br />
<LI>Boot disk: 64B USB stick DONE</LI></UL><br />
<br />
<p>This gives us a combined total of 62,56 GHz CPU power and 78GB of memory for VMWare! The storage unit also has plenty of memory (22GB) for ZFS caching.</p><br />
<br />
[[File:DL360_inside.jpg|400px]]<br />
<br />
[[File:DL360_stack.jpg|400px]]<br />
<br />
[[File:Spare_mem.jpg|400px]]<br />
<br />
== Upgrades ==<br />
<br />
Upgrades: Memory upgrades can be from 6x4GB+2x1GB = 26GB to a configuration of 8x4GB=32GB. I believe it's best to keep the storage server on a fast dual core CPU, like 3,7GHz, because the file server process is mostly single thread. For now it will run on a 2GHz quadcore. 22GB should be plenty to run a good ZFS system to host all VM data storage with iSCSI connections.<br />
<br />
== Interconnects ==<br />
<br />
All four systems are planned with 6x gigabit ethernet hooked up to 2 Dell Powerconnect 5324 switches. Two of the gigabit can be used for the iSCSI connection, two for the VM's connection, and two for the shared vMotion/management interface. The host OS for the iSCSI server is still undetermined, but it has to support ZFS with ZIL and L2ARC capabilities at good speeds. Hopefully an SSD drive will be a positive influence to the fileserver's speed.<br />
<br />
[[File:cluster_layout.png]]<br />
<br />
== ZFS storage terminology ==<br />
<br />
The ZFS file system is an advanced modern file system used when data has to be secure, fast available and efficient. There are a number of things that can speed up read data access that ZFS uses in terms of caching. First of all it tries to use RAM as a cache. This is called L1ARC (level 1 adaptive replacement cache). RAM memory is the fastest available storage in the computer, and the ZFS system will try to use this to speed up read access. If some portion of data is accessed a lot, it places this in RAM to be extremely fast. If data is not cached in RAM, it has to revert to the storage disks. The L2ARC places a layer between these, and can contain very fast storage disks compared to the storage disks, but slower than the RAM. This used to be very fast SCSI disks, but nowadays SSD drives are preffered due to the very low access latency.<br />
<br />
If data has to be stored onto a ZFS system, basically is has to write to the disks and will be bound to the speed of those disks. The ZIL or "ZFS intent log" can speed up this by caching the write transactions onto the cache. Often this is a RAM disk, or an SSD drive. If the ZIL is full, the ZFS system commits this data to the storage disks.<br />
<br />
With ZFS we can set up sparse volumes. This means a volume can be advertised to the ZFS client at a different capacity than it really is. Lets say, I have a 2TB storage pool available, but I advertise it as a 4TB size volume. When data is filling up the 2TB storage to almost full capacity, additional storage space can be added to the volume without expanding the volume.<br />
<br />
Another neat feature is deduplication. When the same data is stored multiple times, the file system can recognise this and only store this data is single time, with multiple references to this. However, this feature can consume a great amount of RAM.<br />
<br />
== Initial testing ==<br />
<br />
For an initial test, the ZFS server is set up with NAS4free, a ZFS pool is added, and a CIFS share and a iSCSI target is attached to the ZFS. On one VMWare ESXi host, the vCenter appliance is installed. It all works remarkably well! The CIFS share is pulling around 65MB/s, being the maximum of my desktop hardware (yes slow harddrive) with no noticable congestion on the iSCSI side. The used memory of the storage is about 44% of caching activity. It seems the NAS4free system is truly multithreaded, because load is divided onto all 4 cores. Of course the SMB and the iSCSI process is single threaded, but it gets divided all onto seperate cores.<br />
<br />
[[File:nas4free1.png]]<br />
<br />
[[File:vmwareesxi1.png]]<br />
<br />
== NAS4free configuration ==<br />
<br />
Setting up NAS4free as an iSCSI target is fairly simple, once you understand the basics. First of all, you'll have to set up an ZFS volume, since this is the underlying storage system we want to use for iSCSI. An ZFS volume can be created from a ZFS pool, which in itset can contain one or more ZFS Virtual Devices or "vdevs". A vdev can be purposed for storage as well as caching, it being a ZIL (Log) or L2ARC (Cache). For storage, a number of options can be configured. A stripe (RAID0 for non-ZFS people), a mirror (RAID1) and a number of distributed parity options being RAIDZ1 (RAID5), RAIDZ2 (RAID6) or RAIDZ3. Also, standby drives can be appointed as Hot spare. Once you've set up a ZFS volume, it can be referenced by an iSCSI extent. From this extend, you specify an iSCSI target. In a diagram, this all looks like so:<br />
<br />
[[File:nas4free_overview.gif]]<br />
<br />
== Performance enhancements ==<br />
<br />
There are a number of things we can do to increase performance.<br />
<br />
<ul><br />
<li> Storage performance: Speed increasement is the primary goal. As mentioned, an SSD could be added to the ZFS pool to increase read performance. But when data has to read from disks, we are pretty much depending on some 2.5 inch harddrives. Since 10K or 15K RPM SAS drives at higher capacities are hugely expensive, SATA drives have to be used. Those laptop drives are generally not made for 24/7 usage, so choices become very limited. Western Digital are offering 2 drives that meet our needs: 2.5 inch form factor, designed for 24/7 NAS. The WD10JFCX offers 1TB of storage space, while the WD7500BFCX has 750GB of space. Since the price difference is so small, the 1TB version can deliver 4TB when used in a 5 drive RAIDZ1 configuration. Because of the ZFS sector size, a RAIDZ1 vdev delivers optimal performance when used as a 3, 5 or 9 drives set. Also, these drives can be formatted in the new 4K sector advanced format for less overhead. Traditionally, usage of compression algorithms meant a speed decreasement, but with a quadcore Xeon processor, it could actually mean an improvement. Because less data has to be read from those relatively slow spinning disks, the time it costs to compress and decompress can be compensated. The LZ4 compression is very fast, and is implemented in the ZFS filesystem. The additional benefit of increased storage capacity can be considered a bonus.</li><br />
<li>Also the access to the storage is very important with a VMWare cluster. If the storage can not be accessed anymore, the virtual machines can no longer function, or worse: go corrupt. In a common cluster system this is compensated by using more than one physical path to the storage. A number of links can be set up, over multiple network connections, and the ESXi host can choose one of them to communicate. If that connection fails, the host can automatically fall back on to the remaining connection(s). This is where the multiple NIC's in the host comes in play. Two of them can be dedicated to storage access, over multiple physical NIC cards would be the best idea.</li> <br />
</ul></div>Da Syntaxhttps://ackspace.nl/w/index.php?title=4U_VMware_cluster&diff=57534U VMware cluster2016-01-31T16:45:58Z<p>Da Syntax: </p>
<hr />
<div>{{Project<br />
|State=Completed<br />
|Members=Danny Witberg<br />
|Description=Make a mini VMware cluster<br />
|Picture=DL360G5.jpg<br />
}}<br />
{{Marked as outdated|this page hasn't been updated since we've moved. Not sure if it is still in progress (active) or fully functional (completed).}}<br />
<br />
== Introduction ==<br />
<br />
VMWare and virtual computing in general had many benefits over a physical server farm. One VMWare host can accomodate multiple virtual servers. Shared resources means better use of the actual hardware. In a cluster of hosts, several automation processes can be achieved such as auto restart upon failed VM, and automatic recovery after a failed host. To experiment with such a system, you will have to have a minimum of 3 host servers, and a shared storage system or datapool. My goal with this project is to set up the hardware in a mini 4U cluster system.<br />
<br />
[[File:DL360G5.jpg]]<br />
<br />
[[File:vmware_capacity.png]]<br />
<br />
PRO TIP: The HP DL360G5 servers used in this project can be obtained extremely cheap. The reason for this is that they drop out of virtualisation clusters by the masses. A server gets rebooted and don't get it back into the cluster pool. It gets decommissioned and the secondhand market is flooded with these servers. The reason they don't get it back into the cluster is the reset of a BIOS option: The no-execute bit of the CPU is set disabled by default, while it is required for a virtualisation environment. Solution: Replace the BIOS battery, a normal CR2032. I had to replace these on all four servers I got for this project, and they are all running like a breeze right now. I got two of my servers for a mere 60 euro's, with dual 2.66GHz quadcores and 16GB of memory installed!<br />
<br />
== The hardware ==<br />
<br />
The cluster is comprised of 4 HP DL360G5 1U servers. They are fairly cheap to come by, can easily be upgraded and are compact. This is an overview of the 4 systems:<br />
<br />
1) DONE<br />
<UL><LI>Hardware platform: HP DL360 G5</LI><br />
<LI>CPU: 2x Quadcore Xeon E5430 2.66GHz 64 bit 12MB cache</LI><br />
<LI>Memory: 26GB PC2-5300F ECC Fully buffered memory</LI><br />
<LI>Harddisk: none installed</LI><br />
<LI>Network: 2xBCM5705 gigabit Ethernet with offload engine + 2x dual gigabit Intel PRO1000PT</LI><br />
<LI>Power: 2x 700W hotswap power supply</LI><br />
<LI>Optical drive: DVD/CD rewriter</LI><br />
<LI>Management port: ILO2 100Mbit Ethernet</LI><br />
<LI>Boot disk: 16GB USB stick</LI></UL><br />
<br />
2) DONE<br />
<UL><LI>Hardware platform: HP DL360 G5</LI><br />
<LI>CPU: 2x Quadcore Xeon E5430 2.66GHz 64 bit 12MB cache</LI><br />
<LI>Memory: 26GB PC2-5300F ECC Fully buffered memory</LI><br />
<LI>Harddisk: none installed</LI><br />
<LI>Network: 2xBCM5705 gigabit Ethernet with offload engine + 2x dual gigabit Intel PRO1000PT</LI><br />
<LI>Power: 2x 700W hotswap power supply</LI><br />
<LI>Optical drive: DVD/CD rewriter</LI><br />
<LI>Management port: ILO2 100Mbit Ethernet</LI><br />
<LI>Boot disk: 16GB USB stick</LI></UL><br />
<br />
3) DONE<br />
<UL><LI>Hardware platform: HP DL360 G5</LI><br />
<LI>CPU: 2x Quadcore Xeon E5420 2.5GHz 64 bit 12MB cache</LI><br />
<LI>Memory: 26GB PC2-5300F ECC Fully buffered memory</LI><br />
<LI>Network: 2xBCM5705 gigabit Ethernet with offload engine + 2x dual gigabit Intel PRO1000PT</LI><br />
<LI>Power: 2x 700W hotswap power supply</LI><br />
<LI>Harddisk: none</LI><br />
<LI>Optical drive: DVD/CD rewriter</LI><br />
<LI>Management port: ILO2 100Mbit Ethernet</LI><br />
<LI>Boot disk: 16B USB stick</LI></UL><br />
<br />
4) Pending...<br />
<UL><LI>Hardware platform: HP DL360 G5 DONE</LI><br />
<LI>CPU: 1x Quadcore Xeon E5405 2GHz 64 bit 12MB cache DONE</LI><br />
<LI>Memory: 22GB PC2-5300F ECC Fully buffered memory DONE</LI><br />
<LI>Network: 2xBCM5705 gigabit Ethernet with offload engine + 2x dual gigabit Intel PRO1000PT DONE</LI><br />
<LI>Harddisk: 3-5x SATA 500-1000GB 7200RPM 2.5inch drive + 256GB SSD <-- Still open for suggestions</LI><br />
<LI>Power: 2x 700W hotswap power supply DONE</LI><br />
<LI>Optical drive: CD rewriter DONE</LI><br />
<LI>Management port: ILO2 100Mbit Ethernet</LI><br />
<LI>Boot disk: 64B USB stick DONE</LI></UL><br />
<br />
<p>This gives us a combined total of 62,56 GHz CPU power and 78GB of memory for VMWare! The storage unit also has plenty of memory (22GB) for ZFS caching.</p><br />
<br />
[[File:DL360_inside.jpg|400px]]<br />
<br />
[[File:DL360_stack.jpg|400px]]<br />
<br />
[[File:Spare_mem.jpg|400px]]<br />
<br />
== Upgrades ==<br />
<br />
Upgrades: Memory upgrades can be from 6x4GB+2x1GB = 26GB to a configuration of 8x4GB=32GB. I believe it's best to keep the storage server on a fast dual core CPU, like 3,7GHz, because the file server process is mostly single thread. For now it will run on a 2GHz quadcore. 22GB should be plenty to run a good ZFS system to host all VM data storage with iSCSI connections.<br />
<br />
== Interconnects ==<br />
<br />
All four systems are planned with 6x gigabit ethernet hooked up to 2 Dell Powerconnect 5324 switches. Two of the gigabit can be used for the iSCSI connection, two for the VM's connection, and two for the shared vMotion/management interface. The host OS for the iSCSI server is still undetermined, but it has to support ZFS with ZIL and L2ARC capabilities at good speeds. Hopefully an SSD drive will be a positive influence to the fileserver's speed.<br />
<br />
[[File:cluster_layout.png]]<br />
<br />
== ZFS storage terminology ==<br />
<br />
The ZFS file system is an advanced modern file system used when data has to be secure, fast available and efficient. There are a number of things that can speed up read data access that ZFS uses in terms of caching. First of all it tries to use RAM as a cache. This is called L1ARC (level 1 adaptive replacement cache). RAM memory is the fastest available storage in the computer, and the ZFS system will try to use this to speed up read access. If some portion of data is accessed a lot, it places this in RAM to be extremely fast. If data is not cached in RAM, it has to revert to the storage disks. The L2ARC places a layer between these, and can contain very fast storage disks compared to the storage disks, but slower than the RAM. This used to be very fast SCSI disks, but nowadays SSD drives are preffered due to the very low access latency.<br />
<br />
If data has to be stored onto a ZFS system, basically is has to write to the disks and will be bound to the speed of those disks. The ZIL or "ZFS intent log" can speed up this by caching the write transactions onto the cache. Often this is a RAM disk, or an SSD drive. If the ZIL is full, the ZFS system commits this data to the storage disks.<br />
<br />
With ZFS we can set up sparse volumes. This means a volume can be advertised to the ZFS client at a different capacity than it really is. Lets say, I have a 2TB storage pool available, but I advertise it as a 4TB size volume. When data is filling up the 2TB storage to almost full capacity, additional storage space can be added to the volume without expanding the volume.<br />
<br />
Another neat feature is deduplication. When the same data is stored multiple times, the file system can recognise this and only store this data is single time, with multiple references to this. However, this feature can consume a great amount of RAM.<br />
<br />
== Initial testing ==<br />
<br />
For an initial test, the ZFS server is set up with NAS4free, a ZFS pool is added, and a CIFS share and a iSCSI target is attached to the ZFS. On one VMWare ESXi host, the vCenter appliance is installed. It all works remarkably well! The CIFS share is pulling around 65MB/s, being the maximum of my desktop hardware (yes slow harddrive) with no noticable congestion on the iSCSI side. The used memory of the storage is about 44% of caching activity. It seems the NAS4free system is truly multithreaded, because load is divided onto all 4 cores. Of course the SMB and the iSCSI process is single threaded, but it gets divided all onto seperate cores.<br />
<br />
[[File:nas4free1.png]]<br />
<br />
[[File:vmwareesxi1.png]]<br />
<br />
== NAS4free configuration ==<br />
<br />
Setting up NAS4free as an iSCSI target is fairly simple, once you understand the basics. First of all, you'll have to set up an ZFS volume, since this is the underlying storage system we want to use for iSCSI. An ZFS volume can be created from a ZFS pool, which in itset can contain one or more ZFS Virtual Devices or "vdevs". A vdev can be purposed for storage as well as caching, it being a ZIL (Log) or L2ARC (Cache). For storage, a number of options can be configured. A stripe (RAID0 for non-ZFS people), a mirror (RAID1) and a number of distributed parity options being RAIDZ1 (RAID5), RAIDZ2 (RAID6) or RAIDZ3. Also, standby drives can be appointed as Hot spare. Once you've set up a ZFS volume, it can be referenced by an iSCSI extent. From this extend, you specify an iSCSI target. In a diagram, this all looks like so:<br />
<br />
[[File:nas4free_overview.gif]]<br />
<br />
== Performance enhancements ==<br />
<br />
There are a number of things we can do to increase performance.<br />
<br />
<ul><br />
<li> Storage performance: Speed increasement is the primary goal. As mentioned, an SSD could be added to the ZFS pool to increase read performance. But when data has to read from disks, we are pretty much depending on some 2.5 inch harddrives. Since 10K or 15K RPM SAS drives at higher capacities are hugely expensive, SATA drives have to be used. Those laptop drives are generally not made for 24/7 usage, so choices become very limited. Western Digital are offering 2 drives that meet our needs: 2.5 inch form factor, designed for 24/7 NAS. The WD10JFCX offers 1TB of storage space, while the WD7500BFCX has 750GB of space. Since the price difference is so small, the 1TB version can deliver 4TB when used in a 5 drive RAIDZ1 configuration. Because of the ZFS sector size, a RAIDZ1 vdev delivers optimal performance when used as a 3, 5 or 9 drives set. Also, these drives can be formatted in the new 4K sector advanced format for less overhead. Traditionally, usage of compression algorithms meant a speed decreasement, but with a quadcore Xeon processor, it could actually mean an improvement. Because less data has to be read from those relatively slow spinning disks, the time it costs to compress and decompress can be compensated. The LZ4 compression is very fast, and is implemented in the ZFS filesystem. The additional benefit of increased storage capacity can be considered a bonus.</li><br />
<li>Also the access to the storage is very important with a VMWare cluster. If the storage can not be accessed anymore, the virtual machines can no longer function, or worse: go corrupt. In a common cluster system this is compensated by using more than one physical path to the storage. A number of links can be set up, over multiple network connections, and the ESXi host can choose one of them to communicate. If that connection fails, the host can automatically fall back on to the remaining connection(s). This is where the multiple NIC's in the host comes in play. Two of them can be dedicated to storage access, over multiple physical NIC cards would be the best idea.</li> <br />
</ul></div>Da Syntaxhttps://ackspace.nl/w/index.php?title=ACKsesspoint&diff=5748ACKsesspoint2016-01-31T11:08:14Z<p>Da Syntax: </p>
<hr />
<div>{{Project<br />
|State=Stalled<br />
|Members=Da Syntax, xopr<br />
|Description=Create an all-in-one accesspoint/router<br />
}}<br />
=== Problem ===<br />
<br />
On [[Science Hack Day 2013|hackday]] 1, we came across the problem that our internet connection was limited; one could only do http (80) and https (443). <br />
<br />
The rest (ssh, rdp, vnc, pptp, etc.) was blocked. <br />
<br />
=== Hack ===<br />
<br />
[[User:PsychiC|PsychiC]] and [[User:Da Syntax|Da Syntax]] bridged a laptop's wifi with a wired connection to an accesspoint. <br />
<br />
created a public accessible accesspoint which tunneled traffic over a VPN to end up in the network of ACKspace. <br />
<br />
=== update 2014/03/08 ===<br />
<br />
[[User:Xopr|Xopr]] bought a TP-Link TL703n, and 16MB flash + 64MB RAM. [[User:Da Syntax|Da_syntax]] soldered the flash: now we can install OpenVPN! <br />
<br />
=== update 2015/04/23 ===<br />
When working on [[spacenet]] used this router and threw the latest [[http://see.sl088.com/wiki/WR703_%E7%BC%96%E7%A8%8B%E5%99%A8%E5%9B%BA%E4%BB%B6#.E5.BD.93.E5.89.8D:SLboat_Mod_Build_20141024 firmware]] on the TL703n, which fixed WPA2-AES (enterprise, using RADIUS). It now runs OpenWrt Barrier Breaker 14.07<br />
<br />
=== update 2015/05/26 ===<br />
* Played some with OpenVPN (after having set-up [[spacenet]]), and came to the conclusion that RADIUS login is not going to work (certificate-ception problem). LDAP is not setup correctly yet, so I might do some manual administration there.<br />
* Have a [[GL-Inet]] now as well (had some trouble accessing it, but was able to flash OpenWrt on it. Makes a perfect candidate, since it has a LAN and WAN ethernet port.<br />
* Tested the TL703n on a 10400mAh powerbank (more or less forgot to unplug it), and it ran 36 hours (with approximately 25% capacity left) Makes a good set-up for [[hackers on a bike]].<br />
<br />
=== update 2016/01/30 ===<br />
* Stuiterveer, Xopr and Da_Syntax did a test run in the hostel during Fosdem.<br />
* Used open hotspot had a strict firewall. Only browsing was allowed, it injected ssl certs to be able to sniff the trafic. Almost all ports blocked, so no SSH.<br />
* Trying to run openVPN on tcp 443 failed. Session got killed after a minute.<br />
* In the end we got it running stable at UDP 53 (DNS).<br />
<br />
Image of the test setup. Wifi stick which connects the eeePC to the hotspot on the table, eeePC which runs openVPN on the floor, router which runs our WiFI ip also on the floor connected to eeePC.<br />
[[Image:Inet_setup.png|400px]]<br />
<br />
=== (long term) solution ===<br />
<br />
The idea is: use a semi-fancy router to create a fully fledged mobile spacenet accesspoint.<br />
<br />
The router has to have: <br />
<br />
*dual radio, dual frequency (2.4GHz and 5GHz, WAN client, and LAN infrastructure) <br />
*vlan tagging (for routing multiple wired wan, might be optional) <br />
*nice bandwidth/throughput<br />
<br />
The functionality: <br />
<br />
*VPN tunnel to ACKspace <br />
*SpaceNet <br />
*Battery operated or battery backup, like in the [[Guerilla VoIP]] box.</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=File:Inet_setup.png&diff=5747File:Inet setup.png2016-01-31T10:56:57Z<p>Da Syntax: </p>
<hr />
<div></div>Da Syntaxhttps://ackspace.nl/w/index.php?title=File:IoTaps_Picture.jpg&diff=5745File:IoTaps Picture.jpg2016-01-30T17:00:13Z<p>Da Syntax: Da Syntax uploaded a new version of File:IoTaps Picture.jpg</p>
<hr />
<div></div>Da Syntaxhttps://ackspace.nl/w/index.php?title=File:IoTaps_Picture.jpg&diff=5744File:IoTaps Picture.jpg2016-01-30T16:59:37Z<p>Da Syntax: </p>
<hr />
<div></div>Da Syntaxhttps://ackspace.nl/w/index.php?title=IoTaps&diff=5743IoTaps2016-01-30T16:57:43Z<p>Da Syntax: Created page with "{{Project |State=Completed |Members=Da Syntax |Description=Connecting a beertap to the internet |GitHub=IoTaps }} {{#widget:YouTube|id=TFBt_qkRvRw}}"</p>
<hr />
<div>{{Project<br />
|State=Completed<br />
|Members=Da Syntax<br />
|Description=Connecting a beertap to the internet<br />
|GitHub=IoTaps<br />
}}<br />
{{#widget:YouTube|id=TFBt_qkRvRw}}</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Main_Page&diff=5742Main Page2016-01-30T16:47:21Z<p>Da Syntax: </p>
<hr />
<div>__NOTOC__<br />
<div style="border: none; padding: 0ex; text-align:right; background: ;"><br />
{{#Widget:SpaceAPI<br />
|url=https://ackspace.nl/spaceAPI<br />
|interval=30<br />
|width=260px<br />
|height=20px<br />
|float=right<br />
}}<br />
</div><br />
<div style="text-align:center">{{#widget:Logo<br />
|image=https://ackspace.nl/w/images/thumb/8/83/ACKlogo.png/600px-ACKlogo.png<br />
|width=600px<br />
|height=191px<br />
|padding=8px<br />
}}</div><br />
<br />
{{InfoBox<br />
|Type=featured<br />
|Title=[[Hackerspace_ACKspace:Current_events#Active_events|Upcoming events]]<br />
|Text=<br />
<div style="text-align:left"><br />
{{#ask:[[EventState::Planned||Active]]<br />
|?EventKind<br />
|?EventStart<br />
|format=ul<br />
|headers=hide<br />
|mainlabel=Events<br />
|order=ascending<br />
|sort=EventStart<br />
|offset=0<br />
|limit=5<br />
}} <br />
<br />
|Float=right<br />
|Clear=both<br />
|Width=30em<br />
|Background=#f7fff7<br />
}}</div><br />
<br />
Welcome to ACKspace; a hackerspace located in Heerlen, The Netherlands. <br />
<br />
A hackerspace is a place where people get together, socialize, share knowledge, tinker and build stuff. <br />
<br />
In order to make this possible we have a collection of tools, (useful) stuff, work area and a lounge where we can relax and discuss our favorite games.<br />
<br />
<span class="button">[[Become a participant]]</span><br />
<br />
= [[:Category:Featured|Featured articles]]: =<br />
<br />
{{#ask: [[Category:Featured]]<br />
| ?Description<br />
| ?Modification_date<br />
| sort=Modification_date<br />
| order=descending<br />
| format=embedded<br />
| embedformat=h6<br />
| limit=15<br />
}}<br />
<br />
----<br />
:'''Visiting address''': {{#show: Hackerspace_ACKspace:Communication | ?Place }}<br />
:{{#show: Hackerspace_ACKspace:Communication | ?Address}}<br />
:{{#show: Hackerspace_ACKspace:Communication | ?Zip }} {{#show: Hackerspace_ACKspace:Communication | ?City }}<br />
<br />
:'''IRC''':{{#show: Hackerspace_ACKspace:Communication | ?IRC }}<br />
:'''Mail''': see the [[Hackerspace_ACKspace:Communication#E-mail|communication]] page<br />
:'''Telephone''':{{#show: Hackerspace_ACKspace:Communication | ?Phone }}<br />
<br />
{{Refresh}}</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Main_Page&diff=5735Main Page2016-01-29T19:09:22Z<p>Da Syntax: </p>
<hr />
<div>{{Project<br />
|Members=Da Syntax<br />
}}<br />
__NOTOC__<br />
<div style="border: none; padding: 0ex; text-align:right; background: ;"><br />
{{#Widget:SpaceAPI<br />
|url=https://ackspace.nl/spaceAPI<br />
|interval=30<br />
|width=260px<br />
|height=20px<br />
|float=right<br />
}}<br />
</div><br />
<div style="text-align:center">{{#widget:Logo<br />
|image=https://ackspace.nl/w/images/thumb/8/83/ACKlogo.png/600px-ACKlogo.png<br />
|width=600px<br />
|height=191px<br />
|padding=8px<br />
}}</div><br />
<br />
{{InfoBox<br />
|Type=featured<br />
|Title=[[Hackerspace_ACKspace:Current_events#Active_events|Upcoming events]]<br />
|Text=<br />
<div style="text-align:left"><br />
{{#ask:[[EventState::Planned||Active]]<br />
|?EventKind<br />
|?EventStart<br />
|format=ul<br />
|headers=hide<br />
|mainlabel=Events<br />
|order=ascending<br />
|sort=EventStart<br />
|offset=0<br />
|limit=5<br />
}} <br />
<br />
|Float=right<br />
|Clear=both<br />
|Width=30em<br />
|Background=#f7fff7<br />
}}</div><br />
<br />
Welcome to ACKspace; a hackerspace located in Heerlen, The Netherlands. <br />
<br />
A hackerspace is a place where people get together, socialize, share knowledge, tinker and build stuff. <br />
<br />
In order to make this possible we have a collection of tools, (useful) stuff, work area and a lounge where we can relax and discuss our favorite games.<br />
<br />
<span class="button">[[Become a participant]]</span><br />
<br />
= [[:Category:Featured|Featured articles]]: =<br />
<br />
{{#ask: [[Category:Featured]]<br />
| ?Description<br />
| ?Modification_date<br />
| sort=Modification_date<br />
| order=descending<br />
| format=embedded<br />
| embedformat=h6<br />
| limit=15<br />
}}<br />
<br />
----<br />
:'''Visiting address''': {{#show: Hackerspace_ACKspace:Communication | ?Place }}<br />
:{{#show: Hackerspace_ACKspace:Communication | ?Address}}<br />
:{{#show: Hackerspace_ACKspace:Communication | ?Zip }} {{#show: Hackerspace_ACKspace:Communication | ?City }}<br />
<br />
:'''IRC''':{{#show: Hackerspace_ACKspace:Communication | ?IRC }}<br />
:'''Mail''': see the [[Hackerspace_ACKspace:Communication#E-mail|communication]] page<br />
:'''Telephone''':{{#show: Hackerspace_ACKspace:Communication | ?Phone }}<br />
<br />
{{Refresh}}</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Nieuwjaarswok/LAN&diff=5734Nieuwjaarswok/LAN2016-01-29T18:58:31Z<p>Da Syntax: </p>
<hr />
<div>{{Event<br />
|State=Planned<br />
|Start date=2016/02/13<br />
|Start time=17:00<br />
|End date=2016/02/14<br />
|Contact=Da Syntax<br />
|Kind=Party<br />
|Location=ACKspace<br />
|URL=http://parkstad-plaza.nl/<br />
|Fee=€27,95<br />
|Short description=Wokken -> Lannen<br />
}}<br />
Het idee is om rond 17:00 te verzamelen bij de space en vanuit daar samen door te gaan naar de Parkstad Plaza wok bij het Roda stadion. De onderstaande lijst is de definitieve lijst die aangehouden wordt bij het reserveren. Laat ook even weten of je wilt rijden van de space naar de wok en terug, of dat iemand anders eventueel in jouw auto mag rijden.<br />
<br />
{| cellspacing="1" cellpadding="1" border="1" align="center" summary="New Years Noms availability" class="wikitable sortable"<br />
|-<br />
! scope="col" | Wie <br />
! scope="col" | Wok<br />
! scope="col" | LAN<br />
! scope="col" | wil rijden<br />
! scope="col" | heeft auto<br />
|-<br />
! scope="row" | [[User:Prodigity|Prodigity]] <br />
| Ja <br />
| Ja <br />
| ? <br />
| ?<br />
|-<br />
! scope="row" | [[User:Da Syntax|Da Syntax]] <br />
| Ja <br />
| Ja <br />
| Als het moet <br />
| Ja<br />
|}<br />
<br />
=== wok ===<br />
We gaan wokken bij Parkstad Plaza. Dit kost €27.95 voor 3 uur wokken. Bij dat bedrag zit fris, koffie en thee inbegrepen. Alcoholische dranken zijn verkrijgbaar met losse muntjes van €1 per stuk. <br />
<br />
=== LAN ===<br />
Games: (voeg hier spellen aan toe die je wilt spelen)<br />
* Age of Empires 2<br />
* Unreal Tournament 2004<br />
* Call of Duty<br />
* Quake III - Urban Terror mod<br />
<br />
<br />
'Benodigdheden' staan op de NAS (\\NASI\ACKstorage\WokLAN party)</div>Da Syntaxhttps://ackspace.nl/w/index.php?title=Nieuwjaarswok/LAN&diff=5733Nieuwjaarswok/LAN2016-01-29T18:54:41Z<p>Da Syntax: </p>
<hr />
<div>{{Event<br />
|State=Planned<br />
|Start date=2016/02/13<br />
|Start time=17:00<br />
|End date=2016/02/14<br />
|Contact=Da Syntax<br />
|Kind=Party<br />
|Location=ACKspace<br />
|URL=http://parkstad-plaza.nl/<br />
|Fee=€27,95<br />
|Short description=Wokken -> Lannen<br />
}}<br />
Het idee is om rond 17:00 te verzamelen bij de space en vanuit daar samen door te gaan naar de Parkstad Plaza wok bij het Roda stadion. De onderstaande lijst is de definitieve lijst die aangehouden wordt bij het reserveren. Laat ook even weten of je wilt rijden van de space naar de wok en terug, of dat iemand anders eventueel in jouw auto mag rijden.<br />
<br />
{| cellspacing="1" cellpadding="1" border="1" align="center" summary="New Years Noms availability" class="wikitable sortable"<br />
|-<br />
! scope="col" | Wie <br />
! scope="col" | Wok<br />
! scope="col" | LAN<br />
! scope="col" | wil rijden<br />
! scope="col" | heeft auto<br />
|-<br />
! scope="row" | [[User:Prodigity|Prodigity]] <br />
| Ja <br />
| Ja <br />
| ? <br />
| ?<br />
|-<br />
! scope="row" | [[User:Da Syntax|Da Syntax]] <br />
| Ja <br />
| Ja <br />
| Als het moet <br />
| Ja<br />
|}<br />
<br />
=== wok ===<br />
<br />
<br />
=== LAN ===<br />
Games: (voeg hier spellen aan toe die je wilt spelen)<br />
* Age of Empires 2<br />
* Unreal Tournament 2004<br />
* Call of Duty<br />
* Quake III - Urban Terror mod<br />
<br />
<br />
'Benodigdheden' staan op de NAS (\\NASI\ACKstorage\WokLAN party)</div>Da Syntax